Contents
2022
Volume: 59 Issue 9
31 Article(s)

Export citation format
Optical Design and Fabrication
Research Progress and Development Trend of Extreme Ultraviolet Lithography Source
Nan Lin, Wenhe Yang, Yunyi Chen, Xin Wei, Cheng Wang, Jiaoling Zhao, Yujie Peng, and Yuxin Leng
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922002 (2022)
Evolution and Updates of Advanced Photolithography Technology
Yanli Li, Xianhe Liu, and Qiang Wu
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922006 (2022)
Study on Deep Ultraviolet Computational Lithography Techniques
Guodong Chen, Zinan Zhang, Sikun Li, and Xiangzhao Wang
Lithography tool is the core equipment for the ultra-large-scale integrated circuit (ULSI) manufacturing. Deep ultraviolet (DUV) lithography tool is the mainstream lithographic equipment in the advanced technology node of chip manufacturing. The imaging quality of lithography tool, which has a direct impact on the perf
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922007 (2022)
Research and Progress of Computational Lithography
Xu Ma, Sheng’en Zhang, Yihua Pan, Junbi Zhang, Chengzhen Yu, Lisong Dong, and Yayi Wei
Lithography is the process that transfers the structure pattern of integrated circuit device from the mask to the wafer or the surface of other semiconductor substrate, and it is the key technology to implement the mass production of high-end chips. Driven by the Moore’s law, lithography technique has stepped over mult
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922008 (2022)
Advanced Computational Lithography
Miao Yuan, Yiyu Sun, and Yanqiu Li
Computational lithography is a core technology in manufacturing very large-scale integrated circuits (IC). The requirements for the lithography process are increasing rapidly with a decrease in the IC technology node. Computational lithography technology contributes considerably toward several advancements of the litho
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922009 (2022)
Research on Source and Mask Optimization
Lufeng Liao, Sikun Li, Zinan Zhang, and Xiangzhao Wang
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922010 (2022)
Measurement Techniques for Distortion of Lithography Projection Objective
Yisha Cao, Feng Tang, Xiangzhao Wang, Yang Liu, Peng Feng, Yunjun Lu, and Fudong Guo
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922012 (2022)
Ultra-Precision Motion Stage Control Technology for IC Lithography
Yang Liu, Li Li, Siwen Chen, and Jiubin Tan
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922013 (2022)
Research Status and Progress of Contamination Control in Immersion Liquid System of Immersion Lithography Machine
Jingyuan Fu, Rui Su, Xiaodong Ruan, and Xin Fu
Immersion lithography is a crucial technology for the exposure process in the production of high-performance very large-scale integrated circuits with line widths greater than 5 nm. Compared to the traditional dry lithography, the immersion liquid fills the space between the last projective objective and the wafer of i
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922014 (2022)
Focus Control in Optical Lithography
Shiguang Li, Lei Guo, Haifeng Zeng, Yiyun Ji, Yin Wang, and Yanqing Xiao
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922016 (2022)
Ultra-Precision and High-Speed Laser Interferometric Displacement Measurement Technology and Instrument
Hongxing Yang, Haijin Fu, Pengcheng Hu, Ruitao Yang, Xu Xing, Liang Yu, Di Chang, and Jiubin Tan
To meet the ultra-precision and the high-speed displacement measurement requirements of high-end equipments, such as microelectronic lithography machine, the research group from Harbin Institute of Technology has thoroughly explored the traditional heterodyne laser interferometry with coaxial beams and new heterodyne l
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922018 (2022)
Ultraprecision Grating Positioning Technology for Wafer Stage of Lithography Machine
Junhao Zhu, Shengtong Wang, and Xinghui Li
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922019 (2022)
Key Technologies and Applications of Excimer Laser as Light Sources in Lithography
Rui Jiang
Excimer lasers are widely used in lithography, industrial manufacturing, and medical and scientific fields. Particularly, their indexes such as wavelength, linewidth, energy and dose have great advantages in the field of lithography, therefore can help the lithography machine to obtain smaller image resolution and smoo
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922020 (2022)
Research on Mask Defect Inspection and Compensation Techniques in Extreme Ultraviolet Lithography
Wei Cheng, Sikun Li, Zinan Zhang, and Xiangzhao Wang
Extreme ultraviolet (EUV) lithographic tool is the core equipment to promote the development of integrated circuits to advanced technology nodes, and has been introduced into high volume manufacturing (HVM) of 7 nm technology node chips and below. High imaging quality is the basis of the application of the EUV lithogra
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922022 (2022)
Inline Optical Measurement and Inspection for IC Manufacturing: State-of-the-Art, Challenges, and Perspectives
Xiuguo Chen, Cai Wang, Tianjuan Yang, Jiamin Liu, Chengfeng Luo, and Shiyuan Liu
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922025 (2022)
Systems Engineering Methods and Their Applications in Field of Advanced Semiconductor Equipment
Feng Shu, Zhilei Xu, Le He, and Xiaofeng Yang
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922026 (2022)
DSA in Combination with DUV Lithography for Sub-10 nm Manufacturing
Zili Li, Xiaohua Hu, and Shisheng Xiong
To date, advanced patterning techniques lay the foundation of chip manufacturing and the development of modern information technology. With the continues shrinking of dimension of semiconductor devices, it is imperative for us to develop next-generation lithography (NGL) to meet the ever-stringent requirements of advan
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922027 (2022)
Exploration to Next Generation of Lithography Technology: Concept, Technique, and Future of the 6th Generation of Super-Resolution Lithographic System
Zhijun Luo, Ziyu Liu, Shuhong Wang, Duan Wang, Zongsong Gan, and Xinyao Du
Integrated circuit has been invented for more than 60 years, and the pursuit of more powerful performance chips makes the chip manufacturing technology constantly iterate and upgrade. For a long time, chip fabrication methods based on the ultraviolet lithographic projection system have been the only option for large-sc
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922028 (2022)
Evolution and Application of Digital Micromirror Device Based Maskless Photolithography
Ziyi Zhou, Xianzi Dong, and Meiling Zheng
Lithography technology plays an important role in frontier science and national economy and people’s livelihood. With the optimization of optical components such as the exposure light source, digital micromirror device, projection lens and the rapid development of computer control technology, maskless lithography techn
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922030 (2022)
Lithography Technology During the Past Six Decades
Baoqin Chen
Nowadays, the world is inseparable from the information technology (IT), while IT is inseparable from the integrated circuit (IC) semiconductor manufacturing technology, that is, microelectronics technology. The most critical technology in IC semiconductor manufacturing is lithography. Lithography emerged in 1958 when
Laser & Optoelectronics Progress
  • Publication Date: May. 10, 2022
  • Vol. 59, Issue 9, 0922031 (2022)
Reviews
[in Chinese]
Xiangchao Wang, Yayi Wei, and Jianrong Qiu
Laser & Optoelectronics Progress
  • Publication Date: May. 01, 2022
  • Vol. 59, Issue 9, 0900000 (2022)