• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922001 (2022)
Weijie Shi1、*, Zongqiang Yu1, Junhai Jiang1, Yongqiang Che2, and Sikun Li3
Author Affiliations
  • 1Dongfang Jingyuan Electronic Technology (Beijing) Co., Ltd., Beijing 100176China
  • 2Semiconductor Manufacturing North China (Beijing) Corporation, Beijing 100176, China
  • 3Laboratory of Information Optics and Opto‐Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • show less
    DOI: 10.3788/LOP202259.0922001 Cite this Article Set citation alerts
    Weijie Shi, Zongqiang Yu, Junhai Jiang, Yongqiang Che, Sikun Li. Computational Lithography Technology Under Chip Manufacture Context[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922001 Copy Citation Text show less
    References

    [1] Kilby J S. Invention of the integrated circuit[J]. IEEE Transactions on Electron Devices, 23, 648-654(1976).

    [3] Wang X Z, Dai F Z et al[M]. Image quality detection technology of lithography machine(2021).

    [4] Robertson P D, Wise F W, Nasr A N, Neureuther A R. Proximity effects and influences of nonuniform illumination in projection lithography[J]. Proceedings of SPIE, 37-44(1982).

    [5] Chien P, Chen M. Proximity effects in submicron optical lithography[J]. Proceedings of SPIE, 0772, 35(1987).

    [6] Liu A C, Lin B J. A study of projected optical images for typical IC mask patterns illuminated by partially coherent light[J]. IEEE Transactions on Electron Devices, 30, 1251-1263(1983).

    [7] Yen A, Tzviatkov P, Wong A et al. Optical proximity correction for 0.3 μm i-line lithography[J]. Microelectronic Engineering, 30, 141-144(1996).

    [8] Otto O W, Henderson R C. Integrating proximity effects corrections with photomask data preparation[J]. Proceedings of SPIE, 2440, 184-191(1995).

    [9] Rothschild M. Projection optical lithography[J]. Materials Today, 8, 18-24(2005).

    [10] Mack C A[M]. Fundamental principles of optical lithography: the science of microfabrication(2007).

    [11] Chen J F, Laidig T L, Wampler K E et al. Practical method for full-chip optical proximity correction[J]. Proceedings of SPIE, 3051, 790-803(1997).

    [12] Mansfield S M, Liebmann L W, Molless A F et al. Lithographic comparison of assist feature design strategies[J]. Proceedings of SPIE, 4000, 63-76(2000).

    [13] Stirniman J P, Rieger M L. Fast proximity correction with zone sampling[J]. Proceedings of SPIE, 2197, 294-302(1994).

    [14] Stirniman J P, Rieger M L, Gleason R E. Quantifying proximity and related effects in advanced wafer processes[J]. Proceedings of SPIE, 2440, 252-260(1995).

    [15] Liu Y, Zakhor A. Binary and phase shifting mask design for optical lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 5, 138-152(1992).

    [16] Liu Y, Zakhor A. Computer-aided phase-shift mask design with reduced complexity[J]. Proceedings of SPIE, 1927, 477-493(1993).

    [17] Cobb N B, Zakhor A, Miloslavsky E. Mathematical and CAD Framework for proximity correction[J]. Proceedings of SPIE, 2726, 208-222(1996).

    [18] Cao Y, Lu Y W, Chen L Q et al. Optimized hardware and software for fast full-chip simulation[J]. Proceedings of SPIE, 5754(2005).

    [19] Dill F, Hornberger W P. Characteriztiom of Positive Photoredsist[J]. IEEE Trans, Electron Devices(S0018-9383), ED-22, 445-452(1975).

    [20] Randall J, Gangala H, Tritchkov A. Lithography simulation with aerial image: variable threshold resist model[J]. Microelectronic Engineering, 46, 59-63(1999).

    [21] Burkhardt M, Yen A, Progler C et al. Illuminator design for the printing of regular contact patterns[J]. Microelectronic Engineering, 41/42, 91-95(1998).

    [22] Hsu S, Chen L Q, Li Z P et al. An innovative Source-Mask co-Optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 7140, 220-229(2008).

    [23] Tolani V, Hu P, Peng D P et al. Source-mask co-optimization (SMO) using level set methods[J]. Proceedings of SPIE, 7488, 74880Y(2009).

    [24] Tsai M C, Hsu S, Chen L Q et al. Full-chip source and mask optimization[J]. Proceedings of SPIE, 7973, 79730A(2011).

    [25] Chiu M C, Lin B S M, Tsai M F et al. Challenges of 29 nm half-pitch NAND Flash STI patterning with 193 nm dry lithography and self-aligned double patterning[J]. Proceedings of SPIE, 7140, 484-491(2008).

    [26] Park J, Hsu S, van den Broeke D et al. Application challenges with double patterning technology (DPT) beyond 45 nm[J]. Proceedings of SPIE, 6349, 634922(2006).

    [27] Wiaux V, Verhaegen S, Iwamoto F et al. A methodology for double patterning compliant split and design[J]. Proceedings of SPIE, 7140, 450-463(2008).

    [28] Saleh B E, Sayegh S I. Reduction of errors of microphotographic reproductions by optimal corrections of original masks[J]. Optical Engineering, 20, 781-784(1981).

    [29] Hendrickx E. Inverse lithography for 45-nm-node contact holes at 1.35 numerical aperture[J]. Journal of Nanolithography, MEMS, and MOEMS, 8, 043001(2009).

    [30] Pang L, Ungar P J, Bouaricha A et al. TrueMask ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i[J]. Proceedings of SPIE, 11327, 145-158(2020).

    [31] Liu P. Mask synthesis using machine learning software and hardware platforms[J]. Proceedings of SPIE, 11327, 1132707(2020).

    [32] Cecil T, Braam K, Omran A et al. Establishing fast, practical, full-chip ILT flows using machine learning[J]. Proceedings of SPIE, 11327, 1132706(2020).

    [33] Shi X L, Zhao Y H, Chen S M et al. Physics based feature vector design: a critical step towards machine learning based inverse lithography[J]. Proceedings of SPIE, 11327, 113270A(2020).

    [34] Adam K, Ganjugunte S, Moyroud C et al. Using machine learning in the physical modeling of lithographic processes[J]. Proceedings of SPIE, 10962, 109620F(2019).

    [35] Kim Y S, Lee S, Hou Z Y et al. OPC model accuracy study using high volume contour based gauges and deep learning on memory device[J]. Proceedings of SPIE, 10959, 1095913(2019).

    [36] Cao Y. Mahine learning in computational lithography[EB/OL]. https://www.ebeam.org/docs/SPIE2019-yu-cao.pdf

    [37] Liebmann L, Pileggi L, Hibbeler J. Simplify to survice, prescriptive layouts ensure profitable scaling to 32 nm and beyond[J]. Proceedings of SPIE, 7275, 72750A(2009).

    [40] van den Brink M. Holistic lithography and metrology’s importance in driving patterning fidelity[J]. Proceedings of SPIE, 9778, 977802(2016).

    Weijie Shi, Zongqiang Yu, Junhai Jiang, Yongqiang Che, Sikun Li. Computational Lithography Technology Under Chip Manufacture Context[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922001
    Download Citation