• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922023 (2022)
Yiming Li1、2, Lin Yang3, Xiaohao Wang1, Shuonan Shan1, Fuyuan Deng1, Zhixue He2, Zhengtong Liu2, and Xinghui Li1、2、4、*
Author Affiliations
  • 1Shenzhen International Graduate School, Tsinghua University, Shenzhen 518055, Guangdong , China
  • 2Peng Cheng Laboratory, Shenzhen 518055, Guangdong , China
  • 3The Fifth Electronic Research Institute of MIIT, Guangzhou 511370, Guangdong , China
  • 4Tsinghua-Berkeley Shenzhen Institute, Tsinghua University, Shenzhen 518055, Guangdong , China
  • show less
    DOI: 10.3788/LOP202259.0922023 Cite this Article Set citation alerts
    Yiming Li, Lin Yang, Xiaohao Wang, Shuonan Shan, Fuyuan Deng, Zhixue He, Zhengtong Liu, Xinghui Li. Overlay Metrology for Lithography Machine[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922023 Copy Citation Text show less
    References

    [5] Du J Y, Dai F Z, Bu Y et al. Alignment technique using moire fringes based on self-coherence in lithographic tools[J]. Chinese Journal of Lasers, 44, 1204006(2017).

    [6] Zhu B E, Wang X Z, Li S K et al. High-order aberration measurement method for hyper-NA lithographic projection lens[J]. Acta Optica Sinica, 37, 0412003(2017).

    [7] Hodge V J, Austin J. A survey of outlier detection methodologies[J]. Artificial Intelligence Review, 22, 85-126(2004).

    [8] Du J Y, Dai F Z, Wang X Z. Calibration method for alignment error caused by asymmetric deformation of mark and its application in overlay measurement[J]. Chinese Journal of Lasers, 46, 0704004(2019).

    [9] Wei Y Y[M]. Advanced lithography theory and applications for ultra-large scale integrated circuits(2016).

    [10] Levinson H J[M]. Principles of lithography(2005).

    [11] Xu J, Qin L, Chen Q L et al. Diffraction-based and image-based overlay evaluation for advanced technology node[C], 16866298(2017).

    [12] Peng B F, Lu H L, Wang F et al. Research on diffraction-based overlay measurement using two-dimensional periodic structure[J]. Laser & Optoelectronics Progress, 51, 021201(2014).

    [13] Liu X. Study on overlay alignment method of an optical projection lithography system[D](2015).

    [14] Arnold W H. Toward 3 nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography[J]. Proceedings of SPIE, 6924, 50-58(2008).

    [15] Gould C J, Goodwin F G, Roberts W R. Overlay measurement: hidden error[J]. Proceedings of SPIE, 3998, 400-415(2000).

    [16] Mulkens J, Slachter B, Kubis M et al. Holistic approach for overlay and edge placement error to meet the 5 nm technology node requirements[J]. Proceedings of SPIE, 10585, 105851L(2018).

    [17] Xie H. Explore the development opportunities of China’s semiconductor equipment industry chain[EB/OL]. 兴业证券-兴业证券电子行业:探寻中国半导体设备全产业链的发展机遇. https://news.alphalio.cn/PDF/20200312-

    [19] Wittekoek S, van der Werf J, George R A. Phase gratings as waferstepper alignment marks for all process layers[J]. Proceedings of SPIE, 0538, 24-31(1985).

    [20] Hughlett R E, Cooper K A. Video-based alignment system for X-ray lithography[J]. Proceedings of SPIE, 1465, 100-110(1991).

    [21] Oh S, Lee J, Lee S et al. The effect of individually-induced processes on image-based overlay and diffraction-based overlay[J]. Proceedings of SPIE, 9050, 897-904(2014).

    [22] Ke C M, Hu J, Wang W et al. Evaluation of a new metrology technique to support the needs of accuracy, precision, speed, and sophistication in near-future lithography[J]. Proceedings of SPIE, 7272, 72720A-7(2009).

    [23] Shih V, Huang J, Wang W et al. A sophisticated metrology solution for advanced lithography: addressing the most stringent needs of today as well as future lithography[J]. International Society for Optics and Photonics, 7520, 75201A(2009).

    [24] Saravanan C S, Liu Y D, Dasari P et al. Evaluating diffraction based overlay metrology for double patterning technologies[J]. Proceedings of SPIE, 6922, 125-136(2008).

    [25] Saravanan C S, Tan A, Dasari P et al. Diffraction based overlay metrology for α-carbon applications[J]. Proceedings of SPIE, 6922, 99-107(2008).

    [26] Nam Y S, Kim S, Shin J H et al. Overlay improvement methods with diffraction based overlay and integrated metrology[J]. Proceedings of SPIE, 9426, 942612(2015).

    [27] Bhattacharyya K, Ke C M, Huang G T et al. On-product overlay enhancement using advanced litho-cluster control based on integrated metrology, ultra-small DBO targets and novel corrections[J]. Proceedings of SPIE, 8681, 868104(2013).

    [28] Leray P, Laidler D, Cheng S et al. Achieving optimum diffraction based overlay performance[J]. Proceedings of SPIE, 7638, 778-786(2010).

    [29] Dasari P, Smith N, Goelzer G et al. A comparison of advanced overlay technologies[J]. Proceedings of SPIE, 7638, 76381P(2010).

    [30] Lee B H, Park J, Lee J et al. Diffraction-based overlay for spacer patterning and double patterning technology[J]. Proceedings of SPIE, 7971, 79712U(2011).

    [31] Lozenko S, Shapoval T, Ben-Dov G et al. Matching between simulations and measurements as a key driver for reliable overlay target design[J]. Proceedings of SPIE, 10585, 105851E(2018).

    [32] Bischoff J, Brunner R, Bauer J J et al. Light-diffraction-based overlay measurement[J]. Proceedings of SPIE, 4344, 222-233(2001).

    [33] Ko C H, Ku Y S, Smith N et al. Comparisons of overlay measurement using conventional bright-field microscope and angular scatterometer[J]. Proceedings of SPIE, 5752, 987-996(2005).

    [34] Huang H T, Raghavendra G, Sezginer A et al. Scatterometry-based overlay metrology[J]. Proceedings of SPIE, 5038, 126-137(2003).

    [35] Dasari P, Korlahalli R, Li J et al. Diffraction-based overlay metrology for double patterning technologies[J]. Proceedings of SPIE, 7272, 727212(2009).

    [36] Smilde H J H, den Boef A, Kubis M et al. Evaluation of a novel ultra small target technology supporting on-product overlay measurements[J]. Proceedings of SPIE, 8324, 83241A(2012).

    [37] Niclas M. YieldStar: a steady stream of data to optimize chip manufacturing[EB/OL]. https://www.youtube.com/watch?v=NY3WWp0ewlg

    [38] Yuval L, Yuri P, Vladimir L et al. Diffraction based overlay scatterometry[P].

    [39] Tarshish-Shapir I, Hajaj E, Gray G et al. Overlay metrology performance prediction fidelity: the factors enabling a successful target design cycle[J]. Proceedings of SPIE, 9778, 97782J(2016).

    [40] Chen K H, Huang G, Chen K et al. Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologie[J]. Proceedings of SPIE, 9050, 90500S(2014).

    [41] Kim Y S, Hwang Y S, Jung M R et al. Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets[J]. Proceedings of SPIE, 9424, 942414(2015).

    [42] Adel M E, Tarshish-Shapir I, Gready D et al. Stack and topography verification as an enabler for computational metrology target design[J]. Proceedings of SPIE, 9424, 94240D(2015).

    [43] et alMetrology target design simulations for accurate and robust scatterometry overlay measurements[J]. Proceedings of SPIE, 9778, 97783B(2018).

         Ben-Dov G, Ben-Dov G, Tarshish-Shapir I, Tarshish-Shapir I, Gready D, Gready D et al. Metrology target design simulations for accurate and robust scatterometry overlay measurements[J]. Proceedings of SPIE, 9778, 97783B(2018).

    [44] den Boef A J, Dusa M, Kiers A G M et al. Method and apparatus for angular-resolved spectroscopic lithography characterization[P].

    [45] Oh S, Lee J, Lee S et al. The effect of individually-induced processes on image-based overlay and diffraction-based overlay[J]. Proceedings of SPIE, 9050, 897-904(2014).

    [46] Wang J W, Sun J Y, Lü Q B et al. A multiplexed multispectral imager[P].

    [47] Lu H L, Wang F. A sleeve inscription error measurement device and method[P].

    [48] Peng B F, Lu H L, Wang F. An apparatus and method for measuring sleeve inscription error[P].

    [49] Gruss S, Teipel A, Fuelber C et al. Test of a new sub-90-nm DR overlay mark for DRAM production[J]. Proceedings of SPIE, 5375, 881-892(2004).

    [50] Mao X M. New overlay metrology system of advanced node process[D](2019).

    [51] Ye W N, Zhang M, Zhu Y et al. Real-time displacement calculation and offline geometric calibration of the grating interferometer system for ultra-precision wafer stage measurement[J]. Precision Engineering, 60, 413-420(2019).

    [52] Dettoni F, Shapoval T, Bouyssou R et al. Image based overlay measurement improvements of 28 nm FD-SOI CMOS front-end critical steps[J]. Proceedings of SPIE, 10145, 101450C(2017).

    [53] Tamer M S, van der Lans M J, Sadeghian H. Image-based overlay measurement using subsurface ultrasonic resonance force microscopy[J]. Proceedings of SPIE, 10585, 105850O(2018).

    [54] Liu H C, Osborne J R, Dahlen G A et al. Recent CD AFM probe developments for sub-45 nm technology nodes[J]. Proceedings of SPIE, 6922, 69222J(2008).

    [55] Frase C G, Buhr E, Dirscherl K. CD characterization of nanostructures in SEM metrology[J]. Measurement Science and Technology, 18, 510-519(2007).

    [56] Zhu J L. Profile reconstruction methods in optical scatterometry based nanostructure metrology[D](2015).

    [57] ASML. Physics Graduation Internship: spectral engineering for optical overlay nanometrology[EB/OL]. https://www.asml.com/en/careers/find-your-job/2/8/5/physics-graduation-internship-spectral-engineering-for-optical-overlay-nanometrology-req28502

    [58] Takiguchi T, Takarada Y, Fukada T et al. Lithography tool improvement at productivity and performance with data analysis and machine learning[J]. Proceedings of SPIE, 11855, 98-106(2021).

    Yiming Li, Lin Yang, Xiaohao Wang, Shuonan Shan, Fuyuan Deng, Zhixue He, Zhengtong Liu, Xinghui Li. Overlay Metrology for Lithography Machine[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922023
    Download Citation