• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922008 (2022)
Xu Ma1、*, Sheng’en Zhang1, Yihua Pan1, Junbi Zhang1, Chengzhen Yu1, Lisong Dong2、3, and Yayi Wei2、3、**
Author Affiliations
  • 1Key Laboratory of Photoelectronic Imaging Technology and System of Ministry of Education of China, School of Optics and Photonics, Beijing Institute of Technology, Beijing 100081, China
  • 2Integrated Circuit Advanced Process R&D Center, Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029, China
  • 3School of Microelectronics, University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/LOP202259.0922008 Cite this Article Set citation alerts
    Xu Ma, Sheng’en Zhang, Yihua Pan, Junbi Zhang, Chengzhen Yu, Lisong Dong, Yayi Wei. Research and Progress of Computational Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922008 Copy Citation Text show less
    References

    [1] Wei Y Y[M]. Advanced lithography theory and application of VLSI(2016).

    [2] Ma X, Arce G R[M]. Computational lithography(2010).

    [3] Zhu J Y, Yan Z, Yuan Q et al. The latest development and trend of IC technology[J]. Microelectronics, 50, 219-226(2020).

    [4] Wei Y Y, Li Y J, Dong L S et al[M]. Computational lithography & layout optimization(2021).

    [5] Wang X Z, Dai F Z[M]. Integrated circuit and lithographic tool(2020).

    [6] Gong Y, Zhang W. Present status and progress in 193 nm exposure system in lithography[J]. Chinese Journal of Optics and Applied Optics, 1, 25-35(2008).

    [7] Guo L P, Huang H J, Wang X Z. Off-axis illumination for optical lithography[J]. Laser Journal, 26, 23-25(2005).

    [8] Wei L D, Li Y Q. Hybrid approach for the design of mirror array to produce freeform illumination sources in immersion lithography[J]. Optik, 125, 6166-6171(2014).

    [9] Jian Q X, Wang J, Yuan K et al. Anasysis of the key parameters in lithography process[J]. Microprocessors, 32, 14-17(2011).

    [10] Moore G E. Cramming more components onto integrated circuits[J]. Electronics, 38, 1-4(1965).

    [11] Shibuya M. Resolution enhancement techniques for optical lithography and optical imaging theory[J]. Optical Review, 4, 151-160(1997).

    [12] Wong A K[M]. Resolution enhancement techniques in optical lithography(2001).

    [13] Ma X. Optimization of resolution enhancement techniques in optical lithography[D](2009).

    [14] Otto O W, Garofalo J G, Low K K et al. Automated optical proximity correction: a rules-based approach[J]. Proceedings of SPIE, 2197, 278-293(1994).

    [15] Wei Y Y, Su Y J, Liu Y S. Optical proximity correction in the advanced photolithography[J]. Micronanoelectronic Technology, 51, 186-193(2014).

    [16] Levenson M D, Viswanathan N S, Simpson R A. Improving resolution in photolithography with a phase-shifting mask[J]. IEEE Transactions on Electron Devices, 29, 1828-1836(1982).

    [17] Ahn W S, Seo H S, Bang J M et al. Development of high-transmittance phase-shifting mask for ArF immersion lithography[J]. Proceedings of SPIE, 9658, 965808(2015).

    [18] Kahng A B, Park C H, Xu X et al. Layout decomposition for double patterning lithography[C], 465-472(2008).

    [19] Ohmura Y, Ogata T, Hirayama T et al. An aberration control of projection optics for multi-patterning lithography[J]. Proceedings of SPIE, 7973, 79730W(2011).

    [20] Syrenova S, Wadell C, Langhammer C. Shrinking-hole colloidal lithography: self-aligned nanofabrication of complex plasmonic nanoantennas[J]. Nano Letters, 14, 2655-2663(2014).

    [21] Dietrich M. Resolution and proximity effect in optical lithography[J]. Proceedings of SPIE, 0922, 174-187(1988).

    [22] Granik Y. Source optimization for image fidelity and throughput[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 3, 509-522(2004).

    [23] Ogawa T, Uematsu M, Ishimaru T et al. Effective light source optimization with the modified beam for depth-of-focus enhancements[J]. Proceedings of SPIE, 1754, 175425(1994).

    [24] Pang L Y. Inverse lithography technology: 30 years from concept to practical, full-chip reality[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 20, 030901(2021).

    [25] Rosenbluth A E, Bukofsky S J, Fonseca C A et al. Optimum mask and source patterns to print a given shape[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 1, 13-30(2002).

    [26] Ma X, Arce G R. Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography[J]. Optics Express, 17, 5783-5793(2009).

    [27] Erdmann A, Fühner T, Evanschitzky P et al. Optical and EUV projection lithography: a computational view[J]. Microelectronic Engineering, 132, 21-34(2015).

    [28] Graeupner P, Garreis R B, Goehnermeier A et al. Impact of wavefront errors on low k1 processes at extremely high NA[J]. Proceedings of SPIE, 5040, 119-130(2003).

    [29] Schellenberg F. A little light magic[J]. IEEE Spectrum, 40, 34, 34-39(2003).

    [30] Poonawala A, Milanfar P. Mask design for optical microlithography: an inverse imaging problem[J]. IEEE Transactions on Image Processing, 16, 774-788(2007).

    [31] Kojima Y, Konishi T, Sasaki J et al. Study of alternating phase shift mask structures for ArF lithography[J]. Proceedings of SPIE, 5446, 570-577(2004).

    [32] Kuo H J, Lin C H, Tzu S D et al. Combination of OPC and AttPSM for patterning sub-0.18-μm logic devices[J]. Proceedings of SPIE, 3679, 435-445(1999).

    [33] Noguchi M, Muraki M, Iwasaki Y et al. Subhalf-micron lithography system with phase-shifting effect[J]. Proceedings of SPIE, 1674, 90-102(1992).

    [34] Carriere J, Stack J, Childers J et al. Advances in DOE modeling and optical performance for SMO applications[J]. Proceedings of SPIE, 7640, 764025(2010).

    [35] Mulder M, Engelen A, Noordman O et al. Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems[J]. Proceedings of SPIE, 7640, 76401P(2010).

    [36] Ma X, Li Y Q, Dong L S. Mask optimization approaches in optical lithography based on a vector imaging model[J]. Journal of the Optical Society of America A, 29, 1300-1312(2012).

    [37] Hansen S G. Source mask polarization optimization[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 033003(2011).

    [38] Xu S. Theory and method for in situ lens aberration measurement in optical lithographic tools based on image intensity[D](2016).

    [39] Rockafellar R T[M]. Convex analysis(1970).

    [40] Shen S H. Research on optical lithography simulation and DFM for nano-scale circuits[D](2009).

    [41] Peng Y, Zhang J Y, Wang Y et al. High performance source optimization using a gradient-based method in optical lithography[C], 108-113(2010).

    [42] Peng Y, Zhang J Y, Wang Y et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 20, 2856-2864(2011).

    [43] Ma X, Arce G R. Pixel-based OPC optimization based on conjugate gradients[J]. Optics Express, 19, 2165-2180(2011).

    [44] Li J, Liu S Y, Lam E Y. Efficient source and mask optimization with augmented Lagrangian methods in optical lithography[J]. Optics Express, 21, 8076-8090(2013).

    [45] Jia N N, Lam E Y. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis[J]. Journal of Optics, 12, 045601(2010).

    [46] Fühner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[J]. Proceedings of SPIE, 5754, 415-426(2005).

    [47] Wang Z Q. Research on fast source mask optimization based on compressive sensing for lithography system[D](2019).

    [48] Luo K S, Shi Z, Yan X L et al. SVM based layout retargeting for fast and regularized inverse lithography[J]. Journal of Zhejiang University SCIENCE C, 15, 390-400(2014).

    [49] Ma X, Jiang S L, Wang J et al. A fast and manufacture-friendly optical proximity correction based on machine learning[J]. Microelectronic Engineering, 168, 15-26(2017).

    [50] Zhang Y J, Ye W J. Deep learning-based inverse method for layout design[J]. Structural and Multidisciplinary Optimization, 60, 527-536(2019).

    [51] Ma X, Zheng X Q, Arce G R. Fast inverse lithography based on dual-channel model-driven deep learning[J]. Optics Express, 28, 20404-20421(2020).

    [52] Ma X, Han C Y, Li Y Q et al. Pixelated source and mask optimization for immersion lithography[J]. Journal of the Optical Society of America A, 30, 112-123(2012).

    [53] Erdmann A. Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects[J]. Proceedings of SPIE, 5835, 69-81(2005).

    [54] Erdmann A, Friedrich C M. Rigorous diffraction analysis for future mask technology[J]. Proceedings of SPIE, 4000, 684-694(2000).

    [55] Moharam M G, Gaylord T K. Rigorous coupled-wave analysis of planar-grating diffraction[J]. Journal of the Optical Society of America, 71, 811-818(1981).

    [56] Yang L, Li Y Q, Liu K. Simulation of the polarization effects induced by the bilayer absorber alternating phase-shift mask in conical diffraction[J]. Optical Engineering, 52, 091702(2013).

    [57] Wojcik G L, Mould J,, Ferguson R A et al. Some image modeling issues for I-line, 5X phase-shifting masks[J]. Proceedings of SPIE, 2197, 455-465(1994).

    [58] Evanschitzky P, Erdmann A. Three dimensional EUV simulations: a new mask near field and imaging simulation system[J]. Proceedings of SPIE, 5992, 59925B(2005).

    [59] Tirapu-Azpiroz J, Burchard P, Yablonovitch E. Boundary layer model to account for thick mask effects in photolithography[J]. Proceedings of SPIE, 5040, 1611-1619(2003).

    [60] Adam K, Neureuther A R. Domain decomposition methods for the rapid electromagnetic simulation of photomask scattering[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 1, 253-269(2002).

    [61] Peng L. Accurate prediction of 3D mask topography induced best focus variation in full-chip photolithography applications[J]. Proceedings of SPIE, 8166, 816640(2011).

    [62] Liu P, Xie X B, Liu W et al. Fast 3D thick mask model for full-chip EUVL simulations[J]. Proceedings of SPIE, 8679, 86790W(2013).

    [63] Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 38, 0105001(2018).

    [64] Ma X, Zhao X J, Wang Z Q et al. Fast lithography aerial image calculation method based on machine learning[J]. Applied Optics, 56, 6485-6495(2017).

    [65] Lin J X, Dong L S, Fan T A et al. Fast extreme ultraviolet lithography mask near-field calculation method based on machine learning[J]. Applied Optics, 59, 2829-2838(2020).

    [66] Tanabe H, Sato S, Takahashi A. Fast 3D lithography simulation by convolutional neural network[J]. Proceedings of SPIE, 11614, 116140M(2021).

    [67] Lin J X, Dong L S, Fan T A et al. Fast mask near-field calculation using fully convolution network[C], 9286805(2020).

    [68] Schmid G M, Burns S D, Stewart M D et al. Mesoscale simulation of positive tone chemically amplified photoresists[J]. Proceedings of SPIE, 4690, 381-390(2002).

    [69] Granik Y, Medvedev D, Cobb N. Toward standard process models for OPC[J]. Proceedings of SPIE, 6520, 652043(2007).

    [70] Randall J, Ronse K G, Marschner T et al. Variable-threshold resist models for lithography simulation[J]. Proceedings of SPIE, 3679, 176-182(1999).

    [71] Huang W C, Lin C H, Kuo C C et al. Two threshold resist models for optical proximity correction[J]. Proceedings of SPIE, 5377, 1536-1543(2004).

    [72] Granik Y, Cobb N B, Do T. Universal process modeling with VTRE for OPC[J]. Proceedings of SPIE, 4691, 377-394(2002).

    [73] Poonawala A. Mask design for single and double exposure optical microlithography: an inverse imaging approach[D](2007).

    [74] Li X T. Research on simulation model of etching process in integrated circuit manufacturing[D](2020).

    [75] Zhang R J[M]. Nanoscale integrated circuits-the manufacturing process(2014).

    [76] Guo W, Sawin H H. Review of profile and roughening simulation in microelectronics plasma etching[J]. Journal of Physics D: Applied Physics, 42, 194014(2009).

    [77] Zhu Z J, Liu C. Micromachining process simulation using a continuous cellular automata method[J]. Journal of Microelectromechanical Systems, 9, 252-261(2000).

    [78] Tazawa S, Matsuo S, Saito K. A general characterization and simulation method for deposition and etching technology[J]. IEEE Transactions on Semiconductor Manufacturing, 5, 27-33(1992).

    [79] Kushner M J. Hybrid modelling of low temperature plasmas for fundamental investigations and equipment design[J]. Journal of Physics D: Applied Physics, 42, 194013(2009).

    [80] Xia J H, Rusli, Kumta A. Modeling of silicon carbide ECR etching by feed-forward neural network and its physical interpretations[J]. IEEE Transactions on Plasma Science, 38, 1091-1096(2010).

    [81] Mack C[M]. Fundamental principles of optical lithography: the science of microfabrication(2007).

    [82] Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 52, 314-322(2013).

    [83] Poonawala A, Milanfar P. OPC and PSM design using inverse lithography: a nonlinear optimization approach[J]. Proceedings of SPIE, 6154, 61543H(2006).

    [84] Han Y[M]. Tutorial on the use of EDA tools in integrated circuit design and manufacturing(2016).

    [85] Shen Y J, Wong N, Lam E Y. Level-set-based inverse lithography for photomask synthesis[J]. Optics Express, 17, 23690-23701(2009).

    [86] Baldi P. Gradient descent learning algorithm overview: a general dynamical systems perspective[J]. IEEE Transactions on Neural Networks, 6, 182-195(1995).

    [87] Fletcher R, Reeves C M. Function minimization by conjugate gradients[J]. The Computer Journal, 7, 149-154(1964).

    [88] Amari S I. Backpropagation and stochastic gradient descent method[J]. Neurocomputing, 5, 185-196(1993).

    [89] Broyden C G. Quasi-Newton methods and their application to function minimisation[J]. Mathematics of Computation, 21, 368-381(1967).

    [90] Li D H, Fukushima M. On the global convergence of the BFGS method for nonconvex unconstrained optimization problems[J]. SIAM Journal on Optimization, 11, 1054-1064(2001).

    [91] Pu D G, Yu W C. On the convergence property of the DFP algorithm[J]. Annals of Operations Research, 24, 175-184(1990).

    [92] Geng Z. Research on computational lithography for nanometer-scale circuits[D](2015).

    [93] Osher S, Sethian J A. Fronts propagating with curvature-dependent speed: algorithms based on Hamilton-Jacobi formulations[J]. Journal of Computational Physics, 79, 12-49(1988).

    [94] Shen Y J, Wang X P, Zhou Y Z et al. Local level set based mask optimization with semi-implicit discretization[J]. Acta Optica Sinica, 41, 0911004(2021).

    [95] Wu R X, Dong L S, Ma X et al. Compensation of EUV lithography mask blank defect based on an advanced genetic algorithm[J]. Optics Express, 29, 28872-28885(2021).

    [96] Zhang Z N, Li S K, Wang X Z et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 29, 5448-5465(2021).

    [97] Zhao R B, Dong L S, Chen R et al. Aberration optimization in an extreme ultraviolet lithography projector via a BP neural network and simulated annealing algorithm[J]. Applied Optics, 60, 1341-1348(2021).

    [98] Kumar M, Husain M, Upreti N et al. Genetic algorithm: review and application[J]. SSRN Electronic Journal, 2, 451-454(2010).

    [99] Wang D S, Tan D P, Liu L. Particle swarm optimization algorithm: an overview[J]. Soft Computing, 22, 387-408(2018).

    [100] Bertsimas D, Tsitsiklis J. Simulated annealing[J]. Statistical Science, 8, 10-15(1993).

    [101] Luo R. Optical proximity correction using a multilayer perceptron neural network[J]. Journal of Optics, 15, 075708(2013).

    [102] Ma X, Wu B L, Song Z Y et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 043007(2014).

    [103] LeCun Y, Bengio Y, Hinton G. Deep learning[J]. Nature, 521, 436-444(2015).

    [104] Yang H Y, Luo L Y, Su J et al. Imbalance aware lithography hotspot detection: a deep learning approach[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 033504(2017).

    [105] Yang H Y, Li S H, Deng Z H et al. GAN-OPC: mask optimization with lithography-guided generative adversarial nets[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39, 2822-2834(2020).

    [106] Ma X, Zhao Q L, Zhang H et al. Model-driven convolution neural network for inverse lithography[J]. Optics Express, 26, 32565-32584(2018).

    [107] Zhang S G, Ma X, Zhang J B et al. Fast optical proximity correction based on graph convolution network[J]. Proceedings of SPIE, 11613, 116130V(2021).

    [108] Yu P. Fast and accurate lithography simulation and optical proximity correction for nanometer design for manufacturing[D](2009).

    [109] Ma X, Song Z Y, Li Y Q et al. Block-based mask optimization for optical lithography[J]. Applied Optics, 52, 3351-3363(2013).

    [110] Shi R, Cai Y C, Hong X L et al. Important works about rules in rules-based optical proximity correction[J]. Chinese Journal of Semiconductors, 23, 701-706(2002).

    [111] Synopsys. A new worl of innovation[EB/OL]. https://www.synopsys.com

    [112] KLA. Keep looking ahead[EB/OL]. https://www.kla-tencor.com

    [113] Yang Y W, Shi Z. A new optical proximity correction with mapping model between segments and control sites[J]. Acta Optica Sinica, 30, 1667-1672(2010).

    [114] Chen Y, Wu K, Shi Z et al. A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions[J]. Proceedings of SPIE, 6520, 65204C(2007).

    [115] Cai Y C, Zhou Q, Hong X L et al. Optical proximity correction (OPC) technology and its application[J]. Science in China (Series E: Information Sciences), 37, 1607-1619(2007).

    [116] Li Y M, Yu S M, Li Y L. Intelligent optical proximity correction using genetic algorithm with model- and rule-based approaches[J]. Computational Materials Science, 45, 65-76(2009).

    [117] Gao P R, Gu A, Zakhor A. Optical proximity correction with principal component regression[J]. Proceedings of SPIE, 6924, 69243N(2008).

    [118] Yang Y W, Shi Z, Yan X L et al. Model-based dynamic dissection in OPC[J]. Journal of Semiconductors, 29, 1422-1427(2008).

    [119] Shen X, Shi Z. Segmentation optimization method for optical proximity correction based on lithography model[J]. Computer Engineering, 37, 211-213, 225(2011).

    [120] Huang Z Z, Ni L Y, Fujii K et al. A model based OPC method to add serifs for corner rounding design of CMOS image sensor[J]. Proceedings of SPIE, 11148, 1114813(2019).

    [121] SIEMENS. Siemens EDA[EB/OL]. https://eda.sw.siemens.com/en-US/

    [122] Poonawala A A, Milanfar P. Double-exposure mask synthesis using inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 6, 043001(2007).

    [123] Brist T E, Torres J A. Model-assisted placement of subresolution assist features: experimental results[J]. Proceedings of SPIE, 5042, 99-106(2003).

    [124] Villaret A, Tritchkov A, Entradas J et al. Inverse lithography technique for advanced CMOS nodes[J]. Proceedings of SPIE, 8683, 86830E(2013).

    [125] Ping Y, Li X H, Jang S et al. Tolerance-based OPC and solution to MRC-constrained OPC[J]. Proceedings of SPIE, 7973, 79732M(2011).

    [126] Liu Y, Zakhor A. Binary and phase shifting mask design for optical lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 5, 138-152(1992).

    [127] Sherif S, Saleh B, de Leone R. Binary image synthesis using mixed linear integer programming[J]. IEEE Transactions on Image Processing, 4, 1252-1257(1995).

    [128] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Nanolithography, MEMS, and MOEMS, 5, 043002(2006).

    [129] Pang L Y, Liu Y, Abrams D. Inverse Lithography Technology (ILT): what is the impact to the photomask industry?[J]. Proceedings of SPIE, 6283, 62830X(2006).

    [130] Pang L Y, Liu Y, Abrams D. Inverse lithography technology (ILT): a natural solution for model-based SRAF at 45 nm and 32 nm[J]. Proceedings of SPIE, 6607, 660739(2007).

    [131] Ma X, Arce G R. Generalized inverse lithography methods for phase-shifting mask design[J]. Optics Express, 15, 15066-15079(2007).

    [132] Jia N N, Wong A K, Lam E Y. Robust mask design with defocus variation using inverse synthesis[J]. Proceedings of SPIE, 7140, 71401W(2008).

    [133] Chan S H, Lam E Y. Inverse image problem of designing phase shifting masks in optical lithography[C], 1832-1835(2008).

    [134] Chan S H, Wong A K, Lam E Y. Initialization for robust inverse synthesis of phase-shifting masks in optical projection lithography[J]. Optics Express, 16, 14746-14760(2008).

    [135] Geng Z, Shi Z, Yan X L et al. Regularized level-set-based inverse lithography algorithm for IC mask synthesis[J]. Journal of Zhejiang University SCIENCE C, 14, 799-807(2013).

    [136] Lv W, Liu S Y, Xia Q et al. Level-set-based inverse lithography for mask synthesis using the conjugate gradient and an optimal time step[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 31, 041605(2013).

    [137] Shen Y J, Jia N N, Wong N et al. Robust level-set-based inverse lithography[J]. Optics Express, 19, 5511-5521(2011).

    [138] Shen Y J. Level-set based mask synthesis with a vector imaging model[J]. Optics Express, 25, 21775-21785(2017).

    [139] Geng Z, Shi Z, Yan X L et al. Fast level-set-based inverse lithography algorithm for process robustness improvement and its application[J]. Journal of Computer Science and Technology, 30, 629-638(2015).

    [140] Shen Y J, Peng F, Zhang Z R. Efficient optical proximity correction based on semi-implicit additive operator splitting[J]. Optics Express, 27, 1520-1528(2019).

    [141] Yu J C, Yu P C. Impacts of cost functions on inverse lithography patterning[J]. Optics Express, 18, 23331-23342(2010).

    [142] Ma X, Li Y Q, Guo X J et al. Vectorial mask optimization methods for robust optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 11, 043008(2012).

    [143] Lv W, Lam E Y, Wei H Q et al. Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 023003(2014).

    [144] Lv W, Xia Q, Liu S Y. Pixel-based inverse lithography using a mask filtering technique[J]. Proceedings of SPIE, 8683, 868325(2013).

    [145] Wu X F, Liu S Y, Lv W et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 31, B1-B9(2014).

    [146] Lutich A. Alternative to ILT method for high-quality full-chip SRAF insertion[J]. Proceedings of SPIE, 9426, 94260U(2015).

    [147] Ionescu R C, Hurley P, Apostol S. Inverse lithography using sparse mask representations[J]. Proceedings of SPIE, 9426, 94260K(2015).

    [148] Wang L, Li S K, Wang X Z et al. Pixel-based mask optimization via particle swarm optimization algorithm for inverse lithography[J]. Proceedings of SPIE, 9780, 97801V(2016).

    [149] Yenikaya B. Full chip hierarchical inverse lithography: a solution with perfect symmetry[J]. Proceedings of SPIE, 10147, 101470L(2017).

    [150] Choi H J, Hamouda A. Inverse lithography OPC correction with multiple patterning and etch awareness[J]. Proceedings of SPIE, 10587, 105870O(2018).

    [151] Azuma R, Kohira Y. Pixel-based OPC using quadratic programming for mask optimization[J]. Institute of Electronics Information and Communication Engineer Technical Report, 118, 31-36(2018).

    [152] Azuma R, Kohira Y, Matsui T et al. Process variation-aware mask optimization with iterative improvement by subgradient method and boundary flipping[J]. Proceedings of SPIE, 11328, 113280O(2020).

    [153] Su X J, Gao P Z, Wei Y Y et al. SRAF rule extraction and insertion based on inverse lithography technology[J]. Proceedings of SPIE, 10961, 109610P(2019).

    [154] Gao P Z, Su X J, Shi W J et al. Sub-resolution assist feature cleanup based on grayscale map[J]. IEEE Transactions on Semiconductor Manufacturing, 32, 583-588(2019).

    [155] Okudaira Y, Yashiki S. Pixelated mask optimization on quantum computers[J]. Proceedings of SPIE, 11327, 1132705(2020).

    [156] Chen G D, Li S K, Wang X Z. Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling[J]. Optics Express, 29, 17440-17463(2021).

    [157] Yu D, Liu Y, Hawkinson C. The application of a new stochastic search algorithm “Adam” in inverse lithography technology (ILT) in critical recording head fabrication process[J]. Proceedings of SPIE, 11613, 116130N(2021).

    [158] SYNOPSYS. Proteus ILT-advanced correction of optical proximity effects[EB/OL]. https://www.synopsys.com/silicon/mask-synthesis/proteus-ilt.html

    [159] SIEMENS. Calibre computational lithography[EB/OL]. https://eda.sw.siemens.com/en-US/ic/calibre-manufacturing/computational-lithography/

    [160] Spence C A, Zhang Q, Shu V et al. Manufacturing challenges for curvilinear masks[J]. Proceedings of SPIE, 10451, 1045104(2017).

    [161] Torres J A, Granik Y. Model-assisted complementary double exposure with source optimization[J]. Proceedings of SPIE, 5567, 425-433(2004).

    [162] Ma L, Dong L S, Fan T A et al. Analysis and mitigation of forbidden pitch effects for EUV lithography[J]. Proceedings of SPIE, 11517, 115171B(2020).

    [163] Lv W, Liu S Y, Wu X F et al. Illumination source optimization in optical lithography via derivative-free optimization[J]. Journal of the Optical Society of America A, 31, B19-B26(2014).

    [164] Tian K H, Krasnoperova A, Melville D et al. Benefits and trade-offs of global source optimization in optical lithography[J]. Proceedings of SPIE, 7274, 72740C(2009).

    [165] Yu J C, Yu P C, Chao H Y. Fast source optimization involving quadratic line-contour objectives for the resist image[J]. Optics Express, 20, 8161-8174(2012).

    [166] Jiang H B, Xing T W, Du M. Source optimization using simulated annealing algorithm[J]. Proceedings of SPIE, 9282, 928239(2014).

    [167] Yan G Y, Li S K, Wang X Z. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 34, 1022004(2014).

    [168] Wang L, Li S K, Wang X Z et al. Source optimization using particle swarm optimization algorithm in photolithography[J]. Proceedings of SPIE, 9426, 94261L(2015).

    [169] Tawada M, Hashimoto T, Sakanushi K et al. Fast source optimization by clustering algorithm based on lithography properties[J]. Proceedings of SPIE, 9427, 94270K(2015).

    [170] Finders J, Broman P, van Adrichem P et al. EUV source optimization driven by fundamental diffraction considerations[J]. Proceedings of SPIE, 10450, 104500C(2017).

    [171] Liu Y, Sun Y Y, Li Y Q et al. Source optimization under thick mask model[C], 20300043(2020).

    [172] Ma X, Shi D X, Wang Z Q et al. Lithographic source optimization based on adaptive projection compressive sensing[J]. Optics Express, 25, 7131-7149(2017).

    [173] Sun Y Y, Li Y Q, Li T et al. Fast lithographic source optimization method of certain contour sampling-Bayesian compressive sensing for high fidelity patterning[J]. Optics Express, 27, 32733-32745(2019).

    [174] Lin J X, Dong L S, Fan T A et al. Learning-based compressive sensing method for EUV lithographic source optimization[J]. Optics Express, 27, 22563-22581(2019).

    [175] Wu Q[M]. Photolithography process near the diffraction limit(2020).

    [176] Erdmann A, Füehner T, Schnattinger T et al. Toward automatic mask and source optimization for optical lithography[J]. Proceedings of SPIE, 5377, 646-657(2004).

    [177] Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO)[J]. Proceedings of SPIE, 5853, 180-193(2005).

    [178] Pang L Y, Xiao G M, Tolani V et al. Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO)[J]. Proceedings of SPIE, 7122, 71221W(2008).

    [179] Hsu S, Chen L Q, Li Z P et al. An innovative Source-Mask co-Optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 7140, 714010(2008).

    [180] Nakashima T, Matsuyama T, Owa S. Feasibility studies of source and mask optimization[J]. Proceedings of SPIE, 7520, 75200C(2009).

    [181] Mülders T, Domnenko V, Küchler B et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 7823, 78233X(2010).

    [182] Ma X, Han C Y, Li Y Q et al. Hybrid source mask optimization for robust immersion lithography[J]. Applied Optics, 52, 4200-4211(2013).

    [183] Kim H C, Lee J H, Shin J C et al. A study of source mask optimization for logic device through experiment and simulations[J]. Proceedings of SPIE, 7973, 79731E(2011).

    [184] Jia N N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Optics Express, 19, 19384-19398(2011).

    [185] Li J, Shen Y J, Lam E Y. Hotspot-aware fast source and mask optimization[J]. Optics Express, 20, 21792-21804(2012).

    [186] Wu X F, Liu S Y, Li J et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 22, 3924-3937(2014).

    [187] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 45, 285-293(2013).

    [188] Chen A, Foong Y M, Schramm J et al. Layer aware source mask target optimization[J]. Proceedings of SPIE, 9780, 97801A(2016).

    [189] El-Sewefy O, Chen A, Lafferty N et al. Source mask optimization using 3D mask and compact resist models[J]. Proceedings of SPIE, 9780, 978019(2016).

    [190] Yang C X, Li S K, Wang X Z. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 36, 0811001(2016).

    [191] Yang C X, Li S K, Wang X Z. Source mask optimization based on dynamic fitness function[J]. Acta Optica Sinica, 36, 0111006(2016).

    [192] Shen Y J. Lithographic source and mask optimization with narrow-band level-set method[J]. Optics Express, 26, 10065-10078(2018).

    [193] Shen Y J, Peng F, Zhang Z R. Semi-implicit level set formulation for lithographic source and mask optimization[J]. Optics Express, 27, 29659-29668(2019).

    [194] Chen G D, Li S K, Wang X Z. Source mask optimization using the covariance matrix adaptation evolution strategy[J]. Optics Express, 28, 33371-33389(2020).

    [195] Alleaume C, Yesilada E, Farys V et al. A systematic study of source error in source mask optimization[J]. Proceedings of SPIE, 7823, 782312(2010).

    [196] Aoyama H, Mizuno Y, Hirayanagi N et al. Impact of realistic source shape and flexibility on source mask optimization[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 011005(2013).

    [197] Han C Y, Li Y Q, Ma X et al. Robust hybrid source and mask optimization to lithography source blur and flare[J]. Applied Optics, 54, 5291-5302(2015).

    [198] Li T, Li Y Q. Lithographic source and mask optimization with low aberration sensitivity[J]. IEEE Transactions on Nanotechnology, 16, 1099-1105(2017).

    [199] SIEMENS. Design with Calibre[EB/OL]. https://blogs.sw.siemens.com/calibre/

    [200] Chen W H, He J F, Dong L S et al. Mechanism and applications of source mask optimization[J]. Semiconductor Technology, 42, 641-649(2017).

    [201] Jia N N, Lam E Y. Robustness enhancement in optical lithography: from pixelated mask optimization to pixelated source-mask optimization[J]. ECS Transactions, 34, 203-208(2011).

    [202] Donoho D L. Compressed sensing[J]. IEEE Transactions on Information Theory, 52, 1289-1306(2006).

    [203] Tropp J A, Gilbert A C. Signal recovery from random measurements via orthogonal matching pursuit[J]. IEEE Transactions on Information Theory, 53, 4655-4666(2007).

    [204] Figueiredo M A T, Nowak R D, Wright S J. Gradient projection for sparse reconstruction: application to compressed sensing and other inverse problems[J]. IEEE Journal of Selected Topics in Signal Processing, 1, 586-597(2007).

    [205] Boyd S, Parikh N, Chu E et al. Distributed optimization and statistical learning via the alternating direction method of multipliers[J]. Foundations and Trends® in Machine Learning, 3, 1-122(2010).

    [206] Cai J F, Osher S, Shen Z W. Linearized bregman iterations for compressed sensing[J]. Mathematics of Computation, 78, 1515-1536(2009).

    [207] Blumensath T. Compressed sensing with nonlinear observations and related nonlinear optimization problems[J]. IEEE Transactions on Information Theory, 59, 3466-3474(2013).

    [208] Chen S Y, Xi F, Liu Z. Reconstruction performance of chaotic compressive sensing with lp-norm regularization[J]. Journal of System Simulation, 25, 2667-2671(2013).

    [209] Cai J F, Osher S, Shen Z W. Split bregman methods and frame based image restoration[J]. Multiscale Modeling & Simulation, 8, 337-369(2010).

    [210] Ma X, Wang Z Q, Lin H J et al. Optimization of lithography source illumination arrays using diffraction subspaces[J]. Optics Express, 26, 3738-3755(2018).

    [211] Wang Z Q, Ma X, Chen R et al. Comparison of different lithographic source optimization methods based on compressive sensing[J]. Proceedings of SPIE, 25510, 2551037(2020).

    [212] Lin J X, Dong L S, Fan T A et al. Compressive sensing method for EUV source optimization using different bases[J]. Proceedings of SPIE, 25518, 2551897(2020).

    [213] Liao G H, Sun Y Y, Wei P Z et al. Multi-objective adaptive source optimization for full chip[J]. Applied Optics, 60, 2530-2536(2021).

    [214] Ohlsson H, Yang A Y, Dong R et al. Nonlinear basis pursuit[C], 115-119(2013).

    [215] Ma X, Wang Z Q, Li Y Q et al. Fast optical proximity correction method based on nonlinear compressive sensing[J]. Optics Express, 26, 14479-14498(2018).

    [216] Ma X, Wang Z Q, Zhu J C et al. Nonlinear compressive inverse lithography aided by low-rank regularization[J]. Optics Express, 27, 29992-30008(2019).

    [217] Ma X, Wang Z Q, Arce G R et al. Compressive sensing approaches for lithographic source and mask joint optimization generalized Euler’ constant family[J]. Journal of Microelectronic Manufacturing, 1, 1-7(2018).

    [218] Wang Z Q, Ma X, Chen R et al. Fast pixelated lithographic source and mask joint optimization based on compressive sensing[J]. IEEE Transactions on Computational Imaging, 6, 981-992(2020).

    [219] Sun Y Y, Sheng N Y, Li T et al. Fast nonlinear compressive sensing lithographic source and mask optimization method using Newton-IHTs algorithm[J]. Optics Express, 27, 2754-2770(2019).

    [220] Miyama S, Yamamoto K, Koyama K. Large-area optical proximity correction with a combination of rule-based and simulation-based methods[J]. Japanese Journal of Applied Physics, 35, 6370-6373(1996).

    [221] Matsunawa T, Yu B, Pan D Z. Optical proximity correction with hierarchical Bayes model[J]. Proceedings of SPIE, 9426, 94260X(2015).

    [222] Choi S, Shim S, Shin Y. Machine learning (ML)-guided OPC using basis functions of polar Fourier transform[J]. Proceedings of SPIE, 9780, 97800H(2016).

    [223] Choi S, Shim S, Shin Y. Neural network classifier-based OPC with imbalanced training data[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38, 938-948(2019).

    [224] Jiang B T, Zhang H, Yang J L et al. A fast machine learning-based mask printability predictor for OPC acceleration[C], 412-419(2019).

    [225] Xu X Q, Matsunawa T, Nojima S et al. A machine learning based framework for sub-resolution assist feature generation[C], 161-168(2016).

    [226] Xu X Q, Lin Y B, Li M et al. Subresolution assist feature generation with supervised data learning[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37, 1225-1236(2018).

    [227] Guajardo M, Chen Y L, Brooker P et al. Investigation of machine learning for dual OPC and assist feature printing optimization[J]. Proceedings of SPIE, 10962, 109620E(2019).

    [228] Zhang H, Li S K, Wang X Z et al. 3D rigorous simulation of defective masks used for EUV lithography via machine learning-based calibration[J]. Acta Optica Sinica, 38, 1222002(2018).

    [229] Kim B, Lee B T. Prediction of silicon oxynitride plasma etching using a generalized regression neural network[J]. Journal of Applied Physics, 98, 034912(2005).

    [230] Shim S, Choi S, Shin Y. Machine learning (ML)-based lithography optimizations[C], 530-533(2016).

    [231] Shim S, Shin Y. Etch proximity correction through machine-learning-driven etch bias model[J]. Proceedings of SPIE, 9782, 97820O(2016).

    [232] Chen R, Hu H R, Li X T et al. ETCH model based on machine learning[C], 20299208(2020).

    [233] Hinton G E, Salakhutdinov R R. Reducing the dimensionality of data with neural networks[J]. Science, 313, 504-507(2006).

    [234] Goodfellow I, Bengio Y, Courville A[M]. Deep learning(2016).

    [235] Zheng Y, Chen Q Q, Zhang Y J. Deep learning and its new progress in object and behavior recognition[J]. Journal of Image and Graphics, 19, 175-184(2014).

    [236] Lin Y B. Deep learning for mask synthesis and verification: a survey[C], 825-832(2021).

    [237] Chen Y, Lin Y B, Dong L S et al. SoulNet: ultrafast optical source optimization utilizing generative neural networks for advanced lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 043506(2019).

    [238] Shi X L, Zhao Y H, Cheng S M et al. Optimal feature vector design for computational lithography[J]. Proceedings of SPIE, 10961, 109610O(2019).

    [239] Chen G J, Chen W L, Sun Q et al. DAMO: deep agile mask optimization for full chip scale[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 6511, 20197979(2021).

    [240] Chen K Y, Lan A, Yang R et al. Full-chip application of machine learning SRAFs on DRAM case using auto pattern selection[J]. Proceedings of SPIE, 10961, 1096108(2019).

    [241] Alawieh M B, Lin Y B, Zhang Z W et al. GAN-SRAF: subresolution assist feature generation using generative adversarial networks[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 40, 373-385(2021).

    [242] Kareem P, Shin Y. Synthesis of lithography test patterns using machine learning model[J]. IEEE Transactions on Semiconductor Manufacturing, 34, 49-57(2021).

    [243] Ye W, Alawieh M B, Lin Y B et al. LithoGAN: end-to-end lithography modeling with generative adversarial networks[C], 107-113(2019).

    [244] Ye W, Alawieh M B, Watanabe Y et al. TEMPO: fast mask topography effect modeling with deep learning[C], 127-134(2020).

    [245] Lan S, Liu J, Wang Y M et al. Deep learning assisted fast mask optimization[J]. Proceedings of SPIE, 10587, 105870H(2018).

    [246] Borisov V, Scheible J. Research on data augmentation for lithography hotspot detection using deep learning[J]. Proceedings of SPIE, 10775, 107751A(2018).

    [247] Sim W, Lee K, Yang D D et al. Automatic correction of lithography hotspots with a deep generative model[J]. Proceedings of SPIE, 10961, 1096105(2019).

    [248] Xu Z B, Sun J. Model-driven deep-learning[J]. National Science Review, 5, 22-24(2017).

    [249] Zheng X Q, Ma X, Zhao Q L et al. Model-informed deep learning for computational lithography with partially coherent illumination[J]. Optics Express, 28, 39475-39491(2020).

    [250] Flagello D G, Geh B, Hansen S G et al. Polarization effects associated with hyper-numerical-aperture (>1) lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 4, 031104(2005).

    [251] Dam T, Tolani V, Hu P et al. Source-mask optimization (SMO): from theory to practice[J]. Proceedings of SPIE, 7640, 764028(2010).

    [252] Milster T D, Noble H, Ford E et al. Polarization holograms for source-mask optimization[J]. Proceedings of SPIE, 7973, 79731A(2011).

    [253] Ma X, Gao J, Han C Y et al. Efficient source polarization optimization for robust optical lithography[J]. Proceedings of SPIE, 9052, 90520T(2014).

    [254] Ma X, Dong L S, Han C Y et al. Gradient-based joint source polarization mask optimization for optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14, 023504(2015).

    [255] Guo X J, Li Y Q, Dong L S et al. Co-optimization of the mask, process, and lithography-tool parameters to extend the process window[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 013015(2014).

    [256] Guo X J, Li Y Q, Dong L S et al. Parametric source-mask-numerical aperture co-optimization for immersion lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 043013(2014).

    [257] Staals F, Andryzhyieuskaya A, Bakker H et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[J]. Proceedings of SPIE, 7973, 79731G(2011).

    [258] Fukuda H, Yamanaka R. A new pupil filter for annular illumination in optical lithography[J]. Japanese Journal of Applied Physics, 31, 4126-4130(1992).

    [259] Sears M, Bekaert J, Smith B W. Pupil wavefront manipulation for optical nanolithography[J]. Proceedings of SPIE, 8326, 832611(2012).

    [260] Fühner T, Evanschitzky P, Erdmann A. Mutual source, mask and projector pupil optimization[J]. Proceedings of SPIE, 8326, 83260I(2012).

    [261] Li J, Lam E Y. Joint optimization of source, mask, and pupil in optical lithography[J]. Proceedings of SPIE, 9052, 90520S(2014).

    [262] Han C Y, Li Y Q, Dong L S et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 53, 6861-6871(2014).

    [263] Wang L, Li S K, Wang X Z et al. Source mask projector optimization method of lithography tools based on particle swarm optimization algorithm[J]. Acta Optica Sinica, 37, 1022001(2017).

    [264] Takeuchi K, Ozawa K, Uesawa F et al. Novel robust optimization method of lithographic conditions for correlative multilayers beyond 65 nm node[J]. Proceedings of SPIE, 5754, 877-888(2005).

    [265] Liebmann L, Chu A, Gutwin P. The daunting complexity of scaling to 7 nm without EUV: pushing DTCO to the extreme[J]. Proceedings of SPIE, 9427, 942702(2015).

    [266] Yang D, Gan C, Chidambaram P R et al. Technology-design-manufacturing co-optimization for advanced mobile SoCs[J]. Proceedings of SPIE, 9053, 90530N(2014).

    [267] Kim Y H, Monga U, Lee J M et al. The efficient DTCO compact modeling solutions to improve MHC and reduce TAT[C], 58-61(2018).

    [268] Liebmann L, Zeng J, Zhu X L et al. Overcoming scaling barriers through design technology cooptimization[C], 16337039(2016).

    [269] Duan Y L, Su X J, Chen Y et al. Design technology co-optimization for 14/10 nm metal1 double patterning layer[J]. Proceedings of SPIE, 9781, 97810X(2016).

    [270] Wang X L, Tseng L T, Allenet T et al. Progress in EUV resists status towards high-NA EUV lithography[J]. Proceedings of SPIE, 11323, 113230C(2020).

    [271] International roadmap for devices and systems. More moore[R](2021).

    [272] Zhang L C. Progress in EUV multilayer coating technologies[J]. Chinese Journal of Optics and Applied Optics, 3, 554-565(2010).

    [273] Brandt D C, Fomenkov I V, Ershov A I et al. LPP source system development for HVM[J]. Proceedings of SPIE, 7271, 727103(2009).

    [274] Ma X, Wang Z Q, Chen X B et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 5, 120-135(2019).

    [275] Du Y C, Li H L, Shi L N et al. Integrated development of extreme ultraviolet lithography mask at 32 nm node[J]. Acta Optica Sinica, 33, 1034002(2013).

    [276] Cao Y T, Wang X Z, Bu Y et al. Analysis of mask shadowing effects in extreme-ultraviolet lithography[J]. Acta Optica Sinica, 32, 0805001(2012).

    [277] Raghunathan S, McIntyre G, Fenger G et al. Mask 3D effects and compensation for high NA EUV lithography[J]. Proceedings of SPIE, 8679, 867918(2013).

    [278] Yang X. Investigation on extreme ultraviolet lithography mask[D](2005).

    [279] Krautschik C G, Ito M, Nishiyama I et al. Impact of EUV light scatter on CD control as a result of mask density changes[J]. Proceedings of SPIE, 4688, 289-301(2002).

    [280] Pathak P, Yan Q L, Schmoeller T et al. Modelling strategies for the incorporation and correction of optical effects in EUVL[J]. Microelectronic Engineering, 86, 500-504(2009).

    [281] Shiraishi M, Oshino T, Murakami K et al. Flare modeling and calculation on EUV optics[J]. Proceedings of SPIE, 7636, 763629(2010).

    [282] Sugawara M. Assessment of pattern position shift for defocusing in EUV lithography[J]. Proceedings of SPIE, 6517, 65170X(2007).

    [283] Li J, Yasuaki I, Nakasugi S et al. A chemical underlayer approach to mitigate shot noise in EUV contact hole patterning[J]. Proceedings of SPIE, 9051, 905117(2014).

    [284] Kim S M, Koo S, Park J T et al. EUV stochastic noise analysis and LCDU mitigation by etching on dense contact-hole array patterns[J]. Proceedings of SPIE, 9048, 90480A(2014).

    [285] Nikolsky P, Davydova N, van Ingen Schenau K et al. Feasibility study of the approach to flare, shadowing, optical and process corrections for EUVL OPC[J]. Proceedings of SPIE, 7488, 74882N(2009).

    [286] Deng Y F, Kye J, La Fontaine B et al. Exposure tool settings and OPC strategies for EUV lithography at the 16-nm node[J]. Proceedings of SPIE, 7271, 727119(2009).

    [287] Kim I, Kang H, Park C et al. Methodology of flare modeling and compensation in EUVL[J]. Proceedings of SPIE, 7140, 714009(2008).

    [288] Arisawa Y, Aoyama H, Uno T et al. EUV flare correction for the half-pitch 22 nm node[J]. Proceedings of SPIE, 7636, 763618(2010).

    [289] Lorusso G F, Hendrickx E, Fenger G L et al. Full chip correction of EUV design[J]. Proceedings of SPIE, 7636, 763615(2010).

    [290] Ma X, Wang J, Chen X B et al. Gradient-based inverse extreme ultraviolet lithography[J]. Applied Optics, 54, 7284-7300(2015).

    [291] Hooker K, Lucas K, Küchler B et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 10446, 1044604(2017).

    [292] Clifford C, Lam M, Raghunathan A et al. Optical proximity correction for anamorphic extreme ultraviolet lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 041004(2017).

    [293] Lam M, Clifford C, Raghunathan A et al. Enabling full field physics based OPC via dynamic model generation[J]. Proceedings of SPIE, 10143, 1014316(2017).

    [294] Zhang H, Li S K, Wang X Z et al. Optimization of defect compensation for extreme ultraviolet lithography mask by covariance-matrix-adaption evolution strategy[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 043505(2018).

    [295] Wu R X, Dong L S, Chen R et al. A method for compensating lithographic influence of EUV mask blank defects by an advanced genetic algorithm[J]. Proceedings of SPIE, 11147, 111471U(2019).

    [296] Kim C, Lee S, Park S et al. Machine learning techniques for OPC improvement at the sub-5 nm node[J]. Proceedings of SPIE, 11323, 1132317(2020).

    [297] Lin J X, Dong L S, Fan T A et al. Fast extreme ultraviolet lithography mask near-field calculation method based on machine learning[J]. Applied Optics, 59, 2829-2838(2020).

    [298] Jiang F, Burkhardt M, Raghunathan A et al. Implementation of assist features in EUV lithography[J]. Proceedings of SPIE, 9422, 94220U(2015).

    [299] Mochi I, Philipsen V, Gallagher E et al. Assist features: placement, impact, and relevance for EUV imaging[J]. Proceedings of SPIE, 9776, 97761S(2016).

    [300] Kuo H F, Wu W C. Forming freeform source shapes by utilizing particle swarm optimization to enhance resolution in extreme UV nanolithography[J]. IEEE Transactions on Nanotechnology, 14, 322-329(2015).

    [301] Dong L S, Chen R, Fan T A et al. Impact of flare on source mask optimization in EUVL for 7nm technology node[J]. Proceedings of SPIE, 11323, 113232E(2020).

    [302] Zhang Z N, Li S K, Wang X Z et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 29, 22778-22795(2021).

    [303] Zou L L, Sun Y Y, Wei P Z et al. Exposure latitude aware source and mask optimization for extreme ultraviolet lithography[J]. Applied Optics, 60, 9404-9410(2021).

    Xu Ma, Sheng’en Zhang, Yihua Pan, Junbi Zhang, Chengzhen Yu, Lisong Dong, Yayi Wei. Research and Progress of Computational Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922008
    Download Citation