• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922009 (2022)
Miao Yuan, Yiyu Sun, and Yanqiu Li*
Author Affiliations
  • School of Optics and Photonics, Beijing Institute of Technology, Beijing 100081, China
  • show less
    DOI: 10.3788/LOP202259.0922009 Cite this Article Set citation alerts
    Miao Yuan, Yiyu Sun, Yanqiu Li. Advanced Computational Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922009 Copy Citation Text show less
    References

    [1] Moreau W M[M]. Semiconductor lithography: principles, practices, and materials(2012).

    [2] Mack C[M]. Fundamental principles of optical lithography: the science of microfabrication(2007).

    [3] Goodman J W[M]. Introduction to Fourier optics(1996).

    [4] Schellenberg F M. A history of resolution enhancement technology[J]. Optical Review, 12, 83-89(2005).

    [5] Levinson H J[M]. Principles of lithography(2005).

    [6] Paarhuis B, de Boeij W, Deshpande A et al. Extending DUV productivity and overlay through joint dry and immersion scanner advancements[J]. Proceedings of SPIE, 11613, 116130L(2021).

    [7] Kneer B, Migura S, Kaiser W et al. EUV lithography optics for sub-9 nm resolution[J]. Proceedings of SPIE, 9422, 94221G(2015).

    [8] Migura S, Kneer B, Neumann J T et al. Anamorphic high-NA EUV lithography optics[J]. Proceedings of SPIE, 9661, 96610T(2015).

    [9] Liu Y, Li Y Q, Cao Z. Design of anamorphic magnification high-numerical aperture objective for extreme ultraviolet lithography by curvatures combination method[J]. Applied Optics, 55, 4917-4923(2016).

    [10] Hao Q, Yan X, Liu K et al. Design of an illumination system for high numerical aperture anamorphic extreme ultraviolet projection lithography[J]. Optics Express, 29, 10982-10996(2021).

    [11] Wong A K[M]. Resolution enhancement techniques in optical lithography(2001).

    [12] Saleh B E A, Sayegh S I. Reduction of errors of microphotographic reproductions by optimal corrections of original masks[J]. Optical Engineering, 20, 781-784(1981).

    [13] Nashold K M, Saleh B E A. Image construction through diffraction-limited high-contrast imaging systems: an iterative approach[J]. Journal of the Optical Society of America A, 2, 635-643(1985).

    [14] Ma X, Li Y Q. Resolution enhancement optimization methods in optical lithography with improved manufacturability[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 023009(2011).

    [15] Ma X, Arce G R[M]. Computational lithography(2011).

    [16] Ma X, Han C Y, Li Y Q et al. Hybrid source mask optimization for robust immersion lithography[J]. Applied Optics, 52, 4200-4211(2013).

    [17] Ma X, Han C Y, Li Y Q et al. Pixelated source and mask optimization for immersion lithography[J]. Journal of the Optical Society of America A, 30, 112-123(2012).

    [18] Ma X, Dong L S, Han C Y et al. Gradient-based joint source polarization mask optimization for optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14, 023504(2015).

    [19] Han C Y, Li Y Q, Dong L S et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 53, 6861-6871(2014).

    [20] Yuan M, Sun Y Y, Wei P Z et al. Vectorial pupil optimization to compensate for a polarization effect at full exposure field in lithography[J]. Applied Optics, 60, 9681-9690(2021).

    [21] Singh V. Computational lithography: the new enabler of Moore’s law[J]. Proceedings of SPIE, 6827, 68271Q(2007).

    [22] Wei Y Y[M]. Theory and application of advanced lithography for very large scale integrated circuits(2016).

    [23] Gallatin G M. High-numerical-aperture scalar imaging[J]. Applied Optics, 40, 4958-4964(2001).

    [24] Flagello D. High numerical aperture imaging in homogeneous thin films[D](1993).

    [25] Flagello D G, Milster T, Rosenbluth A E. Theory of high-NA imaging in homogeneous thin films[J]. Journal of the Optical Society of America A, 13, 53-64(1996).

    [26] Yeung M S, Lee D, Lee R S et al. Extension of the Hopkins theory of partially coherent imaging to include thin-film interference effects[J]. Proceedings of SPIE, 1927, 452-463(1993).

    [27] Hopkins H H. On the diffraction theory of optical images[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 217, 408-432(1953).

    [28] Abbe E. Beiträge zur theorie des mikroskops und der mikroskopischen wahrnehmung[J]. Archiv Für Mikroskopische Anatomie, 9, 413-468(1873).

    [29] Schlief R E, Liebchen A, Chen J F. Hopkins versus Abbe’: a lithography simulation matching study[J]. Proceedings of SPIE, 4691, 1106-1117(2002).

    [30] Lin B J. Immersion lithography and its impact on semiconductor manufacturing[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 3, 377-500(2004).

    [31] Totzeck M, Graupner P, Heil T et al. How to describe polarization influence on imaging[J]. Proceedings of SPIE, 5754, 23-37(2005).

    [32] Flagello D G, Hansen S, Geh B et al. Challenges with hyper-NA (NA>1.0) polarized light lithography for sub lambda/4 resolution[J]. Proceedings of SPIE, 5754, 53-68(2005).

    [33] Evanschitzky P, Erdmann A, Fuehner T[M]. Extended Abbe approach for fast and accurate lithography imaging simulations(2009).

    [34] Hansen S G. Source mask polarization optimization[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 033003(2011).

    [35] Li J, Liu S Y, Lam E Y. Efficient source and mask optimization with augmented Lagrangian methods in optical lithography[J]. Optics Express, 21, 8076-8090(2013).

    [36] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 45, 285-293(2013).

    [37] Wu X F, Liu S Y, Li J et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 22, 3924-3937(2014).

    [38] Wu X F, Liu S Y, Lv W et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 31, B1-B9(2014).

    [39] Guo M R, Song Z Y, Feng Y B et al. Efficient source mask optimization method for reduction of computational lithography cycles and enhancement of process-window predictability[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14, 043507(2015).

    [40] Wang J M, Li Y Q. Three-dimensional polarization aberration in hyper-numerical aperture lithography optics[J]. Proceedings of SPIE, 8326, 832624(2012).

    [41] Li Y Q, Ma X, Guo X J et al. Vectorial resolution enhancement: better fidelity for immersion lithography[J]. SPIE Newsroom, 1(2014).

    [42] Ma X, Li Y Q, Dong L S. Mask optimization approaches in optical lithography based on a vector imaging model[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 29, 1300-1312(2012).

    [43] Ma X, Li Y Q, Guo X J et al. Vectorial mask optimization methods for robust optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 11, 043008(2012).

    [44] Ma X, Song Z Y, Li Y Q et al. Block-based mask optimization for optical lithography[J]. Applied Optics, 52, 3351-3363(2013).

    [45] Guo X J, Li Y Q, Dong L S et al. Co-optimization of the mask, process, and lithography-tool parameters to extend the process window[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 013015(2014).

    [46] Erdmann A, Evanschitzky P, Neumann J T et al. Mask-induced best-focus-shifts in DUV and EUV lithography[J]. Proceedings of SPIE, 9426, 94260H(2015).

    [47] Erdmann A, Fuehner T, Evanschitzky P et al. Modeling studies on alternative EUV mask concepts for higher NA[J]. Proceedings of SPIE, 8679, 86791Q(2013).

    [48] Jo F. The impact of mask 3D and Resist 3D effects in optical lithography[J]. Proceedings of SPIE, 9052, 905205(2014).

    [49] Sarma C, Graves T, Neisser M et al. Topographic and other effects on EUV pattern fidelity[J]. Proceedings of SPIE, 9052, 905206(2014).

    [50] McClinton B M, Wallow T, Naulleau P P. Mask shadowing and the line-edge transfer function[J]. Proceedings of SPIE, 8322, 832221(2012).

    [51] Song H, Zavyalova L, Su I et al. Shadowing effect modeling and compensation for EUV lithography[J]. Proceedings of SPIE, 7969, 79691O(2011).

    [52] Shiraishi M, Oshino T, Murakami K et al. Flare modeling and calculation on EUV optics[J]. Proceedings of SPIE, 7636, 763629(2010).

    [53] Zavyalova L, Su I, Jang S et al. EUV modeling accuracy and integration requirements for the 16 nm node[J]. Proceedings of SPIE, 7636, 763627(2010).

    [54] Zuniga C, Habib M, Word J et al. EUV flare and proximity modeling and model-based correction[J]. Proceedings of SPIE, 7969, 79690T(2011).

    [55] Fühner T, Erdmann A, Evanschitzky P. Simulation-based EUV source and mask optimization[J]. Proceedings of SPIE, 7122, 71221Y(2008).

    [56] Liu X L, Wang X Z, Li S K et al. Fast rigorous model for mask spectrum simulation and analysis of mask shadowing effects in EUV lithography[J]. Proceedings of SPIE, 9048, 90483E(2014).

    [57] Ma X, Wang Z Q, Chen X B et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 5, 120-135(2019).

    [58] Lin J X, Dong L S, Fan T A et al. Compressive sensing method for EUV source optimization using different bases[J]. Proceedings of SPIE, 11323, 113232J(2020).

    [59] Zhang Z N, Li S K, Wang X Z et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 29, 5448-5465(2021).

    [60] Zou L L, Sun Y Y, Wei P Z et al. Exposure latitude aware source and mask optimization for extreme ultraviolet lithography[J]. Applied Optics, 60, 9404-9410(2021).

    [61] Balasinski A, Moore A, Shamma N et al. Inverse lithography technology: verification of SRAM cell pattern[J]. Proceedings of SPIE, 5992, 599230(2005).

    [62] Ho J, Wang Y, Wu X et al. Real-world impact of inverse lithography technology[J]. Proceedings of SPIE, 5992, 59921Z(2005).

    [63] Hung C Y, Zhang B, Tang D M et al. First 65 nm tape-out using inverse lithography technology (ILT)[J]. Proceedings of SPIE, 5992, 59921U(2005).

    [64] Liu Y, Abrams D, Pang L Y et al. Inverse lithography technology principles in practice: unintuitive patterns[J]. Proceedings of SPIE, 5992, 599231(2005).

    [65] Martin P M, Progler C J, Xiao G et al. Manufacturability study of masks created by inverse lithography technology (ILT)[J]. Proceedings of SPIE, 5992, 599235(2005).

    [66] Pang L Y, Shamma N, Rissman P et al. Laser and e-beam mask-to-silicon with inverse lithography technology (ILT)[J]. Proceedings of SPIE, 5992, 599221(2005).

    [67] Shen Y J, Wong N, Lam E Y. Level-set-based inverse lithography for photomask synthesis[J]. Optics Express, 17, 23690-23701(2009).

    [68] Shen Y J, Jia N N, Wong N et al. Robust level-set-based inverse lithography[J]. Optics Express, 19, 5511-5521(2011).

    [69] Shen Y J. Level-set based mask synthesis with a vector imaging model[J]. Optics Express, 25, 21775-21785(2017).

    [70] Shen Y J. Lithographic source and mask optimization with narrow-band level-set method[J]. Optics Express, 26, 10065-10078(2018).

    [71] Shen Y J, Peng F, Zhang Z R. Efficient optical proximity correction based on semi-implicit additive operator splitting[J]. Optics Express, 27, 1520-1528(2019).

    [72] Shen Y J, Wang X P, Zhou Y Z et al. Local level set based mask optimization with semi-implicit discretization[J]. Acta Optica Sinica, 41, 0911004(2021).

    [73] Poonawala A, Milanfar P. OPC and PSM design using inverse lithography: a nonlinear optimization approach[J]. Proceedings of SPIE, 6154, 61543H(2006).

    [74] Poonawala A, Borodovsky Y, Milanfar P. ILT for double exposure lithography with conventional and novel materials[J]. Proceedings of SPIE, 6520, 65202Q(2007).

    [75] Poonawala A A, Milanfar P. Double-exposure mask synthesis using inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 6, 043001(2007).

    [76] Lam E. Regularization in inverse lithography: enhancing manufacturability and robustness to process variations[J]. ECS Transactions, 27, 427-432(2010).

    [77] Lam E Y, Wong A K. Computation lithography: virtual reality and virtual virtuality[J]. Optics Express, 17, 12259-12268(2009).

    [78] Ma X, Arce G R. Generalized inverse lithography methods for phase-shifting mask design[J]. Optics Express, 15, 15066-15079(2007).

    [79] Ma X, Arce G. Binary mask optimization for inverse lithography with partially coherent illumination[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 25, 2960-2970(2008).

    [80] Ma X, Arce G R. PSM design for inverse lithography with partially coherent illumination[J]. Optics Express, 16, 20126-20141(2008).

    [81] Ma X, Arce G R. Binary mask optimization for forward lithography based on the boundary layer model in coherent systems[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 26, 1687-1695(2009).

    [82] Ma X, Arce G R. Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography[J]. Optics Express, 17, 5783-5793(2009).

    [83] Ma X, Arce G R. Binary mask optimization for forward lithography based on boundary layer model in coherent systems: erratum[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 27, 82-84(2010).

    [84] Ma X, Arce G R. Pixel-based OPC optimization based on conjugate gradients[J]. Optics Express, 19, 2165-2180(2011).

    [85] Yu J C, Yu P C. Gradient-based fast source mask optimization (SMO)[J]. Proceedings of SPIE, 7973, 797320(2011).

    [86] Song Z Y, Ma X, Gao J et al. Inverse lithography source optimization via compressive sensing[J]. Optics Express, 22, 14180-14198(2014).

    [87] Ma X, Shi D X, Wang Z Q et al. Lithographic source optimization based on adaptive projection compressive sensing[J]. Optics Express, 25, 7131-7149(2017).

    [88] Ma X, Wang Z Q, Lin H J et al. Optimization of lithography source illumination arrays using diffraction subspaces[J]. Optics Express, 26, 3738-3755(2018).

    [89] Ma X, Wang Z Q, Li Y Q et al. Fast optical proximity correction method based on nonlinear compressive sensing[J]. Optics Express, 26, 14479-14498(2018).

    [90] Sun Y Y, Sheng N Y, Li T et al. Fast nonlinear compressive sensing lithographic source and mask optimization method using Newton-IHTs algorithm[J]. Optics Express, 27, 2754-2770(2019).

    [91] Sun Y Y, Li Y Q, Li T et al. Fast lithographic source optimization method of certain contour sampling-Bayesian compressive sensing for high fidelity patterning[J]. Optics Express, 27, 32733-32745(2019).

    [92] Liao G H, Sun Y Y, Wei P Z et al. Multi-objective adaptive source optimization for full chip[J]. Applied Optics, 60, 2530-2536(2021).

    [93] Sun Y Y, Li Y Q, Liao G H et al. Sampling-based imaging model for fast source and mask optimization in immersion lithography[J]. Applied Optics, 61, 523-531(2022).

    [94] Torunoglu I, Karakas A, Elsen E et al. OPC on a single desktop: a GPU-based OPC and verification tool for fabs and designers[J]. Proceedings of SPIE, 7641, 764114(2010).

    [95] Zhang J Y, Deng Y D, Xiong W et al. GPU-accelerated inverse lithography technique[J]. Proceedings of SPIE, 7379, 73790Z(2009).

    [96] Pang L Y, Russell E V, Baggenstoss B et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day[J]. Proceedings of SPIE, 11148, 111480U(2019).

    [97] Jia N N, Yang S H, Kim S et al. Study of lens heating behavior and thick mask effects with a computational method[J]. Proceedings of SPIE, 9052, 905209(2014).

    [98] Sturtevant J, Tejnil E, Lin T et al. Impact of 14-nm photomask uncertainties on computational lithography solutions[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 011004(2013).

    [99] Landié G, Farys V. Estimate design sensitivity to process variation for the 14 nm node[J]. Proceedings of SPIE, 9781, 97810U(2016).

    [100] Sturtevant J, Buck P, Schulze S et al. 14-nm photomask simulation sensitivity[J]. Proceedings of SPIE, 9231, 92310I(2014).

    [101] Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 22, 9471-9485(2014).

    [102] Su Y H, Huang Y C, Tsai L C et al. Fast lithographic mask optimization considering process variation[C], 230-237(2014).

    [103] Wu X F, Liu S Y, Erdmann A et al. Incorporating photomask shape uncertainty in computational lithography[J]. Proceedings of SPIE, 9780, 97800Q(2016).

    [104] Han C Y, Li Y Q, Ma X et al. Robust hybrid source and mask optimization to lithography source blur and flare[J]. Applied Optics, 54, 5291-5302(2015).

    [105] Li T, Li Y Q. Lithographic source and mask optimization with low aberration sensitivity[J]. IEEE Transactions on Nanotechnology, 16, 1099-1105(2017).

    [106] Bai M, Melvin L S, Yan Q L et al. Approximation of three dimensional mask effects with two dimensional features[J]. Proceedings of SPIE, 5751, 446-454(2005).

    [107] Tirapu-Azpiroz J, Burchard P, Yablonovitch E. Boundary layer model to account for thick mask effects in photolithography[J]. Proceedings of SPIE, 5040, 1611-1619(2003).

    [108] Erdmann A. Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects (Invited Paper)[J]. Proceedings of SPIE, 5835, 69-81(2005).

    [109] Erdmann A, Evanschitzky P. Rigorous electromagnetic field mask modeling and related lithographic effects in the low k1 and ultrahigh numerical aperture regime[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 6, 031002(2007).

    [110] Erdmann A, Evanschitzky P, Citarella G et al. Rigorous mask modeling using waveguide and FDTD methods: an assessment for typical hyper-NA imaging problems[J]. Proceedings of SPIE, 6283, 628319(2006).

    [111] Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 52, 314-322(2013).

    [112] Finders J, Dusa M, Mulkens J et al. Solutions for 22-nm node patterning using ArFi technology[J]. Proceedings of SPIE, 7973, 79730U(2011).

    [113] Staals F, Andryzhyieuskaya A, Bakker H et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[J]. Proceedings of SPIE, 7973, 79731G(2011).

    [114] Fukuda H. Spatial filtering for depth of focus and resolution enhancement in optical lithography[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 9, 3113-3116(1991).

    [115] Chen X N, Shi J P, Luo X G et al. Study on improvement of resolution in photolithographic patterns with pupil phase-shift filtering[J]. Proceedings of SPIE, 5286, 97-102(2003).

    [116] Fukuda H, Yamanaka R. A new pupil filter for annular illumination in optical lithography[J]. Japanese Journal of Applied Physics, 31, 4126-4130(1992).

    [117] Kang H, Smith B W. Fabrication of small contact using practical pupil filtering[J]. Proceedings of SPIE, 4000, 1086-1091(2000).

    [118] von Buenau R M, Fukuda H, Terasawa T. Effects of radially nonsymmetric pupil filters and multiple-pupil exposure[J]. Proceedings of SPIE, 2726, 375-385(1996).

    [119] Kempsell Sears M, Bekaert J, Smith B W. Pupil wavefront manipulation for optical nanolithography[J]. Proceedings of SPIE, 8326, 832611(2012).

    [120] Kempsell Sears M, Fenger G, Mailfert J et al. Extending SMO into the lens pupil domain[J]. Proceedings of SPIE, 7973, 79731B(2011).

    [121] Fühner T, Evanschitzky P, Erdmann A. Mutual source, mask and projector pupil optimization[J]. Proceedings of SPIE, 8326, 83260I(2012).

    [122] Li J, Lam E Y. Joint optimization of source, mask, and pupil in optical lithography[J]. Proceedings of SPIE, 9052, 90520S(2014).

    [123] Mao Y J, Li S K, Wang X Z et al. Multi-parameter joint optimization for lithography based on photoresist topography model[J]. Acta Optica Sinica, 40, 0422002(2020).

    [124] Li T, Liu Y, Sun Y Y et al. Vectorial pupil optimization to compensate polarization distortion in immersion lithography system[J]. Optics Express, 28, 4412-4425(2020).

    [125] Li T, Sun Y Y, Li E Z et al. Multi-objective lithographic source mask optimization to reduce the uneven impact of polarization aberration at full exposure field[J]. Optics Express, 27, 15604-15616(2019).

    [126] Li T, Liu Y, Sun Y Y et al. Multiple-field-point pupil wavefront optimization in computational lithography[J]. Applied Optics, 58, 8331-8338(2019).

    [127] Wei A, Guo W, Jiang F et al. Lithographic benefits and mask manufacturability study of curvilinear masks[J]. Proceedings of SPIE, 10810, 108100P(2018).

    [128] Pang L L, Russell E V, Baggenstoss B et al. Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications[J]. Proceedings of SPIE, 11518, 115180W(2020).

    [129] Pang L Y, Russell E V, Baggenstoss B et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day[J]. Proceedings of SPIE, 11148, 111480U(2019).

    [130] Pang L Y, Ungar J, Bouaricha A et al. TrueMask® ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i[J]. Proceedings of SPIE, 11327, 113270K(2020).

    [131] Su J, Zhang Q, Fong W et al. Machine learning assisted SRAF placement for full chip[J]. Proceedings of SPIE, 10451, 104510D(2017).

    [132] Peng L. Mask synthesis using machine learning software and hardware platforms[J]. Proceedings of SPIE, 11327, 1132707(2020).

    [133] Cecil T, Braam K, Omran A S et al. Establishing fast, practical, full-chip ILT flows using machine learning[J]. Proceedings of SPIE, 11327, 1132706(2020).

    Miao Yuan, Yiyu Sun, Yanqiu Li. Advanced Computational Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922009
    Download Citation