• Photonics Research
  • Vol. 5, Issue 6, 702 (2017)
Yiding Lin1、2、3, Kwang Hong Lee2, Shuyu Bao1、2, Xin Guo1, Hong Wang1, Jurgen Michel2、4, and Chuan Seng Tan1、2、*
Author Affiliations
  • 1School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798, Singapore
  • 2Low Energy Electronic Systems (LEES), Singapore-MIT Alliance for Research and Technology, Singapore 138602, Singapore
  • 3e-mail: liny0075@e.ntu.edu.sg
  • 4Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139, USA
  • show less
    DOI: 10.1364/PRJ.5.000702 Cite this Article Set citation alerts
    Yiding Lin, Kwang Hong Lee, Shuyu Bao, Xin Guo, Hong Wang, Jurgen Michel, Chuan Seng Tan. High-efficiency normal-incidence vertical p-i-n photodetectors on a germanium-on-insulator platform[J]. Photonics Research, 2017, 5(6): 702 Copy Citation Text show less

    Abstract

    In this paper, normal incidence vertical p-i-n photodetectors on a germanium-on-insulator (GOI) platform were demonstrated. The vertical p-i-n structure was realized by ion-implanting boron and arsenic at the bottom and top of the Ge layer, respectively, during the GOI fabrication. Abrupt doping profiles were verified in the transferred high-quality Ge layer. The photodetectors exhibit a dark current density of ~47 mA/cm2 at ?1 V and an optical responsivity of 0.39 A/W at 1550 nm, which are improved compared with state-of-the-art demonstrated GOI photodetectors. An internal quantum efficiency of ~97% indicates excellent carrier collection efficiency of the device. The photodetectors with mesa diameter of 60 μm exhibit a 3 dB bandwidth of ~1 GHz, which agrees well with theoretical calculations. The bandwidth is expected to improve to ~32 GHz with mesa diameter of 10 μm. This work could be similarly extended to GOI platforms with other intermediate layers and potentially enrich the functional diversity of GOI for near-infrared sensing and communication integrated with Ge CMOS and mid-infrared photonics.

    1. INTRODUCTION

    Germanium (Ge) has been extensively studied in past decades for diversified electronics and photonics applications on the silicon (Si)-based CMOS integrated circuit (IC) platform, owing to its process compatibility for monolithic integration. As conventional miniaturization of transistors encounters difficulty in extending Moore’s law, Ge, thanks to its higher mobility and lower effective mass of carriers, was adopted to replace Si as transistor channel material for larger drive current and faster ICs with down-scaled supply voltage [1]. On the other hand, Ge exhibits considerable optical absorption into C- and L-telecommunication bands, which facilitates the development of on-chip optical interconnects [2,3] for fiber-optic communication. Numerous reports have been released on Ge-based photodetectors [4], modulators [5], and lasers [6,7]. In addition, high refractive index as well as optical transparency of Ge in the mid-infrared (mid-IR) regime (2–15 μm) attracts the attention of researchers for on-chip molecule sensing and mid-IR communication [8,9]. Therefore, the Ge/CMOS platform is envisioned to be suitable for many novel electronic–photonic integrated applications across a wide spectrum range.

    In recent years, a germanium-on-insulator (GOI) has been under active research as one of the potential platforms for future Ge-based CMOS electronic and photonic applications [1012]. The insertion of an insulator layer between Ge and Si provides a number of advantages. First, the “on-insulator” substrate benefits the electrostatic control of Ge CMOS such as suppressed short channel effects and reduced parasitic capacitance [13]. Second, the insulator layer (e.g., Si3N4 and sapphire) beneath Ge waveguides could provide larger core-clad index contrast for better optical confinement, enabling more compact and sensitive on-chip sensors at the mid-IR region [14]. Furthermore, selection of the insulator material can be quite flexible based on specific needs. For example, high-k dielectrics (e.g., Al2O3 and HfO2) could be adopted for continuing downscaling of transistors with improved Ge/oxide interface [15,16]; chalcogenide glass could also be utilized to support the entire mid-IR photonics applications [17].

    Although the GOI platform has shown superior adaptability for mid-IR sensing and high-performance CMOS electronics, it is rarely explored for optoelectronics in the near-IR region, while great achievement has been established on conventional Ge-on-Si and Ge-on-SOI as monolithically integrated fiber-optic communication platforms, attributing to their Si waveguides directly in contact with Ge for efficient coupling. The GOI platform, due to the lack of suitable inherent waveguide and cladding, is challenging to be directly applied for on-chip optical interconnects. However, discrete optoelectronic devices could still be developed on GOI to enrich the functionality of the platform, with photodetectors [1821], free-carrier absorption modulator [22], and engineered highly strained structures potentially for lasers [23,24] demonstrated. Most of these demonstrated photodetectors are with an inter-digitated p-i-n configuration [1820]. This configuration is simple process-wise, but the device responsivity and speed might be mutually compromised by inter-digitated finger width and spacing. Chen et al. [21] also reported a waveguide-integrated photodiode on GOI-on-SOI platform via wafer bonding. However, the bonding interfacial insulator layer must be kept thin for efficient optical coupling into the detector, which tends to limit the versatility of the platform as well as the wafer-scale bonding quality, since Bao et al. [25] recently observed GOI bonding quality degradation with thin intermediate thermal oxide.

    Therefore, in this work, we demonstrate normal incidence vertical p-i-n photodetectors developed on germanium-on-SiO2-insulator substrate. The vertical p-i-n structure was realized via ion-implantation of boron (B) and arsenic (As) at the bottom and top of the Ge layer, respectively, during bonding and layer transfer process in the GOI fabrication. With the p+-contact being introduced at the bottom of the Ge layer, photon-generated carriers could be collected more efficiently via the vertical electric field to enhance the responsivity, compared with the inter-digitated device configuration. The device fabrication technique is also expected to be compatible with GOI platforms with different intermediate materials, demonstrating its excellent potential contributing to GOI-based CMOS-photonics platform for plentiful applications such as on-chip optical receivers or near-IR LiDAR systems integrated with novel high-speed Ge electronics and mid-IR photonics.

    2. GOI VERTICAL p-i-n STRUCTURE

    A. Experimental

    The fabrication of a GOI vertical p-i-n structure is similar to that previously reported [26,27], as shown in Fig. 1. An intrinsic Ge (i-Ge) layer was epitaxial-grown on a 200 mm Si (100) carrier wafer, followed by B ion implantation to form a p+-Ge layer. An SiO2 interfacial layer was deposited via plasma-enhanced chemical vapor deposition (PECVD) on both Ge and the other Si handle wafer. A 50  nm SiN layer was then deposited by PECVD on the Ge-on-Si wafer to help with suppressing interfacial void formation during the latter bonding stage. Prior to bonding, both dielectric surfaces were treated under O2 plasma for 15 s, followed by deionized water rinsing and spin drying. After the surface treatment, the Ge-on-Si wafer was flipped over and bonded to the Si handle wafer at room temperature followed by annealing at 300°C for 3 h in N2 ambient to enhance the bonding strength. Grinding, tetramethylammonium hydroxide (TMAH) etching, and then chemical-mechanical polishing (CMP) were implemented to remove the Si carrier wafer and defective Ge. As implantation was then performed to form the n+-layer in Ge. Dopant activation was performed at 650°C for 15 s by rapid thermal annealing (RTA) to minimize dopant diffusion.

    Schematic of bonding and layer transfer technique for GOI p-i-n structure fabrication.

    Figure 1.Schematic of bonding and layer transfer technique for GOI p-i-n structure fabrication.

    The fabricated GOI p-i-n structure was systematically characterized by the techniques described below. Cameca IMS secondary ion mass spectrometry (SIMS) was used to analyze the B and As doping profiles in Ge. Transmission electron microscopy (TEM) was utilized to evaluate the GOI bonding quality at cross-sectional view. High-resolution X-ray diffraction (HRXRD) study was performed by using a PANalytical X’Pert PRO X-ray diffractometer to determine film quality and residual strain of Ge before and after bonding and layer transfer.

    B. Results and Discussion

    Figure 2(a) shows the doping profiles of B and As with respect to the depth in the Ge layer. Both the B and As profiles reveal abrupt concentration interfaces at 150 and 1100 nm depth, respectively, with low concentration below the detection limit at the intrinsic region. This confirms minimal diffusion of B and As dopants in maintaining the i-Ge thickness for sufficient optical absorption. Due to the layer transfer technique, the ion implantation approach becomes viable to introduce both p+- and n+-Ge layers, which eliminates the concern of excess diffusion of in situ introduced dopants during Ge growth and cyclic annealing [28]. The inset shows a cross-sectional TEM image at the bonding interface of the realized GOI vertical p-i-n structure. A seamless and void-free bonding interface was observed, implying high quality of bonding and layer transfer process. Figure 2(b) depicts the HRXRD (004) 2θω scan results of the as-grown Ge-on-Si and the GOI vertical p-i-n structure, with that of bulk Ge wafer as a reference. It can be observed that the Ge peak intensity increases (by an order of magnitude) and the peak FWHM decreases (from 227 to 48 arcsec) from the as-grown film to GOI, indicating improved Ge film quality after bonding and layer transfer. This is due to the removal of the highly defective Ge layer close to the Ge/Si interface during the CMP process, which is also verified by the minimized shoulder at right-side of the GOI HRXRD peak toward higher 2θω angles, which has been correlated with Si/Ge inter-diffusion during the Ge-on-Si growth [26]. The residual strain in the Ge film, due to thermal expansion coefficient mismatch between Ge and Si, was calculated based on the Ge lattice constants obtained from the HRXRD peak 2θω angles of GOI and bulk Ge, as illustrated in Ref. [26]. It was found that 0.15% tensile strain remained in the Ge film, which is close to the reported values [18,29]. The tensile strain also serves as an important guide in understanding the optical responsivity spectrum of the GOI photodetector at a later part of the study.

    (a) SIMS doping profiles of B and As in Ge vertical p-i-n structure. Inset shows a corresponding cross-sectional TEM image of the fabricated GOI p-i-n structure at bonding interface. (b) HRXRD (004) 2θ−ω scan of as-grown Ge-on-Si and GOI p-i-n structure with that of bulk Ge as reference. Tensile strain of ∼0.15% for the Ge layer in the GOI was obtained. The values in the plot correspond to the respective peak 2θ−ω angles.

    Figure 2.(a) SIMS doping profiles of B and As in Ge vertical p-i-n structure. Inset shows a corresponding cross-sectional TEM image of the fabricated GOI p-i-n structure at bonding interface. (b) HRXRD (004) 2θω scan of as-grown Ge-on-Si and GOI p-i-n structure with that of bulk Ge as reference. Tensile strain of 0.15% for the Ge layer in the GOI was obtained. The values in the plot correspond to the respective peak 2θω angles.

    3. GOI VERTICAL p-i-n PHOTODETECTORS

    A. Experimental

    The realized GOI vertical p-i-n structure was further developed into photodetectors with its cross-sectional schematic, as shown in Fig. 3(a). First, optical lithography patterning followed by reactive-ion etching (RIE) were repetitively performed on the GOI vertical p-i-n structure to form both n- and p-Ge regions. SiO2 was then deposited using PECVD as a device passivation layer. Afterward, ring-shaped trenches were created on both n- and p-Ge regions to define metal/Ge contact areas, followed by sputtering and lift-off of a Ti/TiN/Al metal stack to form device metal/Ge contacts. RTA at 400°C for 1 min was implemented to enhance the ohmic characteristic of the contacts. Figure 3(b) shows the optical microscope image of a fabricated photodetector with a mesa diameter of 80 μm. The image reveals clear device surface features of the mesa regions and metal pads. The field emission scanning electron microscope (FESEM) image above shows the cross-sectional structure of the fabricated device across the highlighted portion of its mesa region with respective layers clearly seen.

    (a) Cross-sectional schematic of a GOI vertical p-i-n photodetector (PD) and (b) its optical microscope image with a mesa diameter of 80 μm. The FESEM image above presents the cross-sectional view of the detector along the green solid line across the mesa.

    Figure 3.(a) Cross-sectional schematic of a GOI vertical p-i-n photodetector (PD) and (b) its optical microscope image with a mesa diameter of 80 μm. The FESEM image above presents the cross-sectional view of the detector along the green solid line across the mesa.

    The GOI vertical p-i-n photodetectors were characterized in terms of their dark current, optical responsivity, and high-frequency performance. The current-voltage (I-V) characteristic was measured by an Agilent B1500A semiconductor parameter analyzer. To measure the optical responsivity, a TUNICS T100S-HP/CL tunable laser covering C- and L- bands (1500–1630 nm) was utilized as the light source. As shown in the inset of Fig. 5(a), light was coupled into a Corning SMF-28 single-mode silica glass fiber to normally illuminate on the devices. Voltage bias and photocurrent were applied and collected using an Aim-TTi QL355TP power supply and a Keysight 34450A multimeter, respectively, via electrical probes placed on device n- and p-metal contacts. The device frequency response was evaluated by an Agilent N4373D lightwave component analyzer via an RF cable of 40 GHz bandwidth. Calibration had been completed before measurement to exclude the frequency response from bias-tee, RF cable, and probes.

    (a) Dark current density-voltage characteristic of GOI vertical p-i-n PDs with different mesa diameters. (b) Temperature-dependent study on dark current of GOI vertical p-i-n PD at −0.5 V.

    Figure 4.(a) Dark current density-voltage characteristic of GOI vertical p-i-n PDs with different mesa diameters. (b) Temperature-dependent study on dark current of GOI vertical p-i-n PD at 0.5  V.

    (a) Photocurrent of a GOI vertical p-i-n PD (mesa diameter of 250 μm) as a function of applied bias, with its dark I-V characteristic as a reference. Inset shows the corresponding measurement configuration. (b) Optical responsivity spectrum of GOI vertical p-i-n PD across C- and L- telecommunication bands. Inset shows the corresponding first-order derivative of the responsivity spectrum.

    Figure 5.(a) Photocurrent of a GOI vertical p-i-n PD (mesa diameter of 250 μm) as a function of applied bias, with its dark I-V characteristic as a reference. Inset shows the corresponding measurement configuration. (b) Optical responsivity spectrum of GOI vertical p-i-n PD across C- and L- telecommunication bands. Inset shows the corresponding first-order derivative of the responsivity spectrum.

    B. Results and Discussion

    Figure 4(a) shows the dark current density-voltage characteristic of GOI vertical p-i-n photodetectors with different mesa diameters. The dark current densities of the devices are at 47  mA/cm2 at reverse bias of 1 V, irrespective of mesa diameter. This indicates a negligible peripheral leakage current contributing to the device dark current, implying good quality of SiO2 passivation. To better understand the leakage mechanism of the detectors, an activation energy analysis on the dark current was performed. The dark current Idark can be modelled as [30] Idark=BT1.5eEakT(eqV2kT1),where B is a constant, T is temperature, Ea is the activation energy for dark current, and V is the applied bias. Figure 4(b) shows the logarithm of the measured dark current ln(Idark/T1.5) as a function of 1/(kT) for the photodetectors biased at 0.5  V in the temperature range of 293–353 K. The gradient of the linear fitting corresponds to the activation energy Ea, which is 0.336  eV, about half of the indirect Ge bandgap (0.66  eV). This indicates that the dark current originates mainly from the Shockley–Reed–Hall (SRH) process via deep-level traps in the Ge bandgap [30]. This mechanism has been correlated to carrier generation from threading dislocations in Ge material in the depletion region [31]. Therefore, the dark current is expected to be further reduced by using the oxygen-annealed GOI substrate with suppressed Ge threading dislocation density [32]. Figure 5 (a) shows the photocurrent of a GOI vertical p-i-n photodetector with a mesa diameter of 250 μm with respect to the applied bias. The corresponding dark I-V characteristic was appended as a reference. It could be observed that the photocurrent saturates at 2.6  mA for reverse bias higher than 0.3 V, exhibiting potential for low-energy-consumption operation. Given the measured 6.9 mW illumination at 1550 nm coming out of the fiber, the device optical responsivity was determined as 0.39  A/W at 2  V bias without antireflection (AR) coating. The dark current density and optical responsivity are comparable with that of reported high-performance Ge-on-Si and Ge-on-SOI photodetectors with similar i-Ge thicknesses [3337], as shown in Fig. 6, implying good quality of device fabrication. The responsivity is also higher than demonstrated GOI photodetectors [1921], as listed in the same figure, due to the vertical p-i-n structure for sufficient optical absorption. To simplify the estimation of device surface optical reflection, the fiber was probed surface-normal to touch the passivation layer on the mesa region. Assuming the interface between the mesa surface and the cleaved end of the fiber is lossless, the light can thus be considered propagating in silica/SiO2 before reaching Ge, and the surface reflection can be simply calculated by the Fresnel relation r=|n1n2n1+n2|2,where r is the surface reflectance and n1 and n2 are refractive indices of SiO2 and Ge, respectively. Therefore, the optical responsivity of the device could reach 0.51  A/W by minimizing surface reflection with proper AR coating. Optical absorption shielded by the metal contact ring was regarded as negligible because the core diameter of the fiber (10  μm) is much smaller than the mesa diameter.

    Performance comparison of Ge-based PDs. i-Ge thicknesses for the corresponding Ge-on-Si and Ge-on-SOI devices (without AR coating) in the references (numbers in square brackets) were indicated. The i-Ge thicknesses for GOI PDs were not indicated due to their discrepant configurations (interdigitated lateral p-i-n [19] and waveguide-integrated [21]).

    Figure 6.Performance comparison of Ge-based PDs. i-Ge thicknesses for the corresponding Ge-on-Si and Ge-on-SOI devices (without AR coating) in the references (numbers in square brackets) were indicated. The i-Ge thicknesses for GOI PDs were not indicated due to their discrepant configurations (interdigitated lateral p-i-n [19] and waveguide-integrated [21]).

    The internal quantum efficiency of the device could be extracted from the following relationship [35,36]: R=λ(μm)1.24(1r)ηintexp(αGednGe)[1exp(αGediGe)],where R is measured device responsivity, λ is the incident photon wavelength at 1550 nm, r is the device surface reflectance from Eq. (2), ηint is the internal quantum efficiency, dnGe and diGe are n-Ge and i-Ge layer thicknesses, respectively, and αGe refers to the absorption coefficient of Ge. αGe=7032  cm1 as obtained from the ellipsometer-fitted extinction coefficient kGe on as-grown Ge-on-Si via αGe=4πkGe/λ. dnGe was determined as 130 nm from SIMS characterization [Fig. 2(a)] and diGe was calculated as 822 nm from C-V measurements using a Keithley 4200-SCS semiconductor characterization system at 100 kHz and 2  V with parasitic capacitance excluded. By substituting all these parameters, an internal quantum efficiency of 97% was extracted, demonstrating excellent carrier collection efficiency inside the Ge p-i-n junction.

    The optical responsivity spectrum was also collected with respect to the incident photon wavelength spanning C- and L-bands, as shown in Fig. 5(b). A nearly flat spectrum at 0.4  A/W was measured until a drastic drop occurred from 1570  nm onward, due to reduced density of states accommodating for generated electron-hole pairs near the direct band edge of Ge. Two peaks at 1570 and 1591  nm could be identified in the spectrum where a significant sharper decrease of responsivity starts, compared with that at lower wavelengths. This was further verified by the peaks in the first-order derivative plot of responsivity shown in the inset of Fig. 6. These two peaks are likely to be correlated with absorption edges for the Ge direct bandgap between conduction band-heavy hole (HH) and band-light hole (LH) band at the Γ-valley. It has been well studied that the residual tensile strain in Ge reduces its bandgap and causes nondegeneracy at the valence band with HH and LH sub-bands splitting [38], according to the deformation potential theory explained in [39]: EgLH=EgδEhy14δEsh+12Δ12(Δ2+Δ·δEsh+94δEsh2)1/2,EgHH=EgδEhy+12δEsh,where EgLH and EgHH are the Ge direct bandgaps of conduction band-LH and band-HH, respectively. Δ=0.289  eV [38] is the spin-orbit splitting between the degenerated topmost valence band and the split-off band. The strain-induced Ge hydrostatic (δEhy) and shear (δEsh) deformation were defined as δEhy=a(ϵxx+ϵyy+ϵzz) and δEsh=b(ϵxx+ϵyy2ϵzz), respectively, where a=8.97  eV and b=1.88  eV [40] are hydrostatic and shear deformation potentials, respectively. ϵxx, ϵyy and ϵzz are the Ge material strain along x, y and z directions, respectively. Hence, the biaxial tensile strain ϵxx=ϵyy=0.15% [Fig. 2(b)] would alter the Ge bandgap to 0.78 and 0.79 eV for EgLH and EgHH, respectively, which corresponds to optical absorption edges at 1569 and 1589 nm matching with the peak locations in the responsivity spectrum. As a result, similar to Ge-on-Si photodetectors [41], tensile strain in Ge benefits the optical absorption of GOI detectors toward L-band with enhanced responsivity. It is also worthy to mention that the responsivity in the L-band is 0.27 and 0.13 A/W at 1600 and 1620 nm, respectively, which is superior to the corresponding reported values [41] of Ge-on-Si detectors. The reason for higher responsivity requires further investigation, but it can probably be attributed to more prominent absorption contributed from the photons backreflected from the bottom SiO2 layer. The larger index contrast between SiO2 (1.44) and Ge (4.2), compared with that between Si (3.47) and Ge, might enhance the optical reflection back to Ge to collect the unabsorbed photons when the Ge absorption coefficient decreases. This might enable better sensitivity performance for GOI photodetectors at the L-band.

    Figure 7(a) shows the normalized frequency response of a GOI vertical p-i-n photodetector with mesa diameter of 60 μm with 3 dB bandwidth of 1  GHz measured at 2  V. The 3 dB bandwidth is theoretically determined by both resistance-capacitance (RC) delay of the detector and carrier transit time through the intrinsic region of the detector [36], as described in f3dB=(1ftransit2+fRC2)1/2,fRC=12πRC,ftransit=0.45vsatdiGe,where ftransit and fRC refer to carrier transit-limited as well as RC delay-limited 3 dB frequencies, respectively. To estimate the device performance more accurately, in Eq. (4.2), the resistance R=RL+Rs includes the series resistance (Rs) from device metal/semiconductor contacts, apart from the load resistance (RL=50Ω) from the measurement apparatus. Similarly, the capacitance C=Cj+Cp also includes the parasitic capacitance Cp of the device connected in parallel with the junction capacitance Cj. vsat in Eq. (5.3) is the carrier saturation drift velocity in Ge (6×106  cm/s [36,42]) and diGe is the thickness of the i-Ge layer. Rs was determined from dV/dI of the forward-biased I-V characteristic, as shown in the inset of Fig. 7(a). To de-couple Cj and Cp from measured device capacitance C with varied mesa diameters at 2  V, linear interpolation was applied by fitting the capacitance with following relationship: C=ACj60μm+Cp,where A is the factor of device mesa areas with respect to the smallest mesa area with 60 μm diameter, and Cj60μm is the corresponding junction capacitance of that smallest mesa. Cj60μm and Cp would thus be extracted from the slope and y intercept of the linear fit, respectively, as indicated in the inset of Fig. 7(b). As ftransit (33  GHz) is much larger than fRC (1.1  GHz), the detector speed is dominantly limited by RC delay; therefore, the measured 3 dB bandwidth reasonably matches with fRC. It is apparent that the device speed could be further improved by reducing Rs and Cj. As shown in Fig. 7(b), the projected f3dB increases with decreasing mesa diameter due to suppressed RC delay. As the single-mode fiber core diameter is 10  μm, a GOI vertical p-i-n detector with 10 μm mesa diameter and reasonable Rs of 30 Ω [43], excluding the influence of Cp, is estimated to have 3 dB bandwidth of 32  GHz [Fig. 7(b)], which is close to the theoretical maximum limited by carrier transport through the i-Ge. It is also calculated, as shown in Fig. 7(c), that the detectors of 10 μm mesa diameter start to be carrier transit-limited with minimal influence from RC delay for the i-Ge thicker than 400 nm. Therefore, although performance compromise exists between responsivity and speed, the potential for the performance of the detector can be fully utilized for high-speed fiber-optic communication with projectable reasonable responsivity (i-Ge >400  nm) and theoretically maximal speed achievable simultaneously.

    (a) Frequency response of GOI vertical p-i-n PD (mesa diameter of 60 μm) at −2 V. Inset shows device series resistance extracted from I-V characteristics. (b) Calculated 3 dB bandwidth of GOI vertical p-i-n detector (Rs=30 Ω) with respect to its mesa diameter (red solid line). The dashed line represents the corresponding carrier transit-limited bandwidth. Inset shows linear interpolation of device capacitances at −2 V for de-coupling of junction and parasitic capacitances. (c) Calculated 3 dB bandwidth of the PD with mesa diameter of 10 μm with respect to i-Ge thickness.

    Figure 7.(a) Frequency response of GOI vertical p-i-n PD (mesa diameter of 60 μm) at 2  V. Inset shows device series resistance extracted from I-V characteristics. (b) Calculated 3 dB bandwidth of GOI vertical p-i-n detector (Rs=30Ω) with respect to its mesa diameter (red solid line). The dashed line represents the corresponding carrier transit-limited bandwidth. Inset shows linear interpolation of device capacitances at 2  V for de-coupling of junction and parasitic capacitances. (c) Calculated 3 dB bandwidth of the PD with mesa diameter of 10 μm with respect to i-Ge thickness.

    4. CONCLUSIONS

    In conclusion, high-efficiency normal incidence vertical p-i-n photodetectors were successfully demonstrated on a GOI platform. The vertical p-i-n structure was made by ion implantation, along with a bonding and layer transfer process in GOI fabrication. A high-quality Ge layer with abrupt n- and p-dopant profiles was transferred to an Si wafer with a top SiO2 layer. The photodetectors exhibit dark currents of 47  mA/cm2 at 1  V and optical responsivities of 0.39 A/W at 1550 nm, which are comparable with reported Ge-on-Si and Ge-on-SOI photodetectors. The responsivity could be further increased to 0.51  A/W with appropriate AR coating, prominently higher than reported GOI photodetectors. The device reveals excellent carrier collection efficiency with internal quantum efficiency of 97%. Considerable responsivity was also observed at the L-band due to the 0.15% tensile strain in Ge that extends the direct-band absorption edge. The enhanced responsivity might also be attributed to stronger backreflection from the bottom SiO2 layer, but further study is needed. The 3 dB bandwidth of 1  GHz was extracted from the device with mesa diameter of 60 μm, which can be further improved to 32  GHz by shrinking the mesa diameter to 10 μm and reducing the series resistance to 30 Ω. The device fabrication technique is also expected to be compatible with other GOI platforms with different intermediate materials. This work could extend the GOI platform into near-IR photonics to potentially integrate with Ge CMOS electronics and mid-IR photonics for sensing and communication applications at a broad spectrum range.

    Acknowledgment

    Acknowledgment. The authors are grateful to the support and resources from Nanyang NanoFabrication Center (N2FC) for device fabrication and the Silicon Technologies Center of Excellence (Si-COE) for optical measurement. Y. Lin is supported by SMART Fellowship.

    References

    [1] R. Pillarisetty. Academic and industry research progress in germanium nanodevices. Nature, 479, 324-328(2011).

    [2] C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanović, R. J. Ram, M. A. Popović, V. M. Stojanović. Single-chip microprocessor that communicates directly using light. Nature, 528, 534-538(2015).

    [3] P. Chaisakul, D. Marris-Morini, J. Frigerio, D. Chrastina, M.-S. Rouifed, S. Cecchi, P. Crozat, G. Isella, L. Vivien. Integrated germanium optical interconnects on silicon substrates. Nat. Photonics, 8, 482-488(2014).

    [4] J. Michel, J. Liu, L. C. Kimerling. High-performance Ge-on-Si photodetectors. Nat. Photonics, 4, 527-534(2010).

    [5] J. Liu, M. Beals, A. Pomerene, S. Bernardis, R. Sun, J. Cheng, L. C. Kimerling, J. Michel. Waveguide-integrated, ultralow-energy GeSi electro-absorption modulators. Nat. Photonics, 2, 433-437(2008).

    [6] R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, J. Michel. An electrically pumped germanium laser. Opt. Express, 20, 11316-11320(2012).

    [7] R. Camacho-Aguilera, Z. Han, Y. Cai, L. C. Kimerling, J. Michel. Direct band gap narrowing in highly doped Ge. Appl. Phys. Lett., 102, 152106(2013).

    [8] R. Soref. Group IV photonics: Enabling 2  μm communications. Nat. Photonics, 9, 358-359(2015).

    [9] R. Soref. Mid-infrared photonics in silicon and germanium. Nat. Photonics, 4, 495-497(2010).

    [10] J. Kang, X. Yu, M. Takenaka, S. Takagi. Impact of thermal annealing on Ge-on-Insulator substrate fabricated by wafer bonding. Mater. Sci. Semicond. Process., 42, 259-263(2016).

    [11] V. Reboud, A. Gassenq, J. M. Hartmann, J. Widiez, L. Virot, J. Aubin, K. Guilloy, S. Tardif, J. M. Fédéli, N. Pauc, A. Chelnokov, V. Calvo. Germanium based photonic components toward a full silicon/germanium photonic platform. Prog. Cryst. Growth Charact. Mater., 63, 1-24(2017).

    [12] H. Wu, P. D. Ye. Fully depleted Ge CMOS devices and logic circuits on Si. IEEE Trans. Electron Devices, 63, 3028-3035(2016).

    [13] G. Taraschi, T. A. Langdo, M. T. Currie, E. A. Fitzgerald, D. A. Antoniadis. Relaxed SiGe-on-insulator fabricated via wafer bonding and etch back. J. Vac. Sci. Technol. B, 20, 725-727(2002).

    [14] W. Li, P. Anantha, S. Bao, K. H. Lee, X. Guo, T. Hu, L. Zhang, H. Wang, R. Soref, C. S. Tan. Germanium-on-silicon nitride waveguides for mid-infrared integrated photonics. Appl. Phys. Lett., 109, 241101(2016).

    [15] D. S. Yu, H. L. Kao, A. Chin, S. P. McAlister. Performance and potential of germanium on insulator field-effect transistors. J. Vac. Sci. Technol. A, 24, 690-693(2006).

    [16] Y. Moriyama, K. Ikeda, S. Takeuchi, Y. Kamimuta, Y. Nakamura, K. Izunome, A. Sakai, T. Tezuka. Ultrathin-body Ge-on-insulator wafers fabricated with strongly bonded thin Al2O3/SiO2 hybrid buried oxide layers. Appl. Phys. Express, 7, 086501(2014).

    [17] L. Zhang, A. M. Agarwal, L. C. Kimerling, J. Michel. Nonlinear Group IV photonics based on silicon and germanium: from near-infrared to mid-infrared. Nanophotonics, 3, 247-268(2014).

    [18] J. R. Jain, D.-S. Ly-Gagnon, K. C. Balram, J. S. White, M. L. Brongersma, D. A. B. Miller, R. T. Howe. Tensile-strained germanium-on-insulator substrate fabrication for silicon-compatible optoelectronics. Opt. Mater. Express, 1, 1121-1126(2011).

    [19] K. Tani, S.-I. Saito, Y. Lee, K. Oda, T. Mine, T. Sugawara, T. Ido. Light detection and emission in germanium-on-insulator diodes. Jpn. J. Appl. Phys., 51, 04DG09(2012).

    [20] J. H. Nam, F. Afshinmanesh, D. Nam, W. S. Jung, T. I. Kamins, M. L. Brongersma, K. C. Saraswat. Monolithic integration of germanium-on-insulator p-i-n photodetector on silicon. Opt Express, 23, 15816-15823(2015).

    [21] L. Chen, P. Dong, M. Lipson. High performance germanium photodetectors integrated on submicron silicon waveguides by low temperature wafer bonding. Opt. Express, 16, 11513-11518(2008).

    [22] J. Kang, M. Takenaka, S. Takagi. Novel Ge waveguide platform on Ge-on-insulator wafer for mid-infrared photonic integrated circuits. Opt. Express, 24, 11855-11864(2016).

    [23] A. Gassenq, K. Guilloy, G. Osvaldo Dias, N. Pauc, D. Rouchon, J. M. Hartmann, J. Widiez, S. Tardif, F. Rieutord, J. Escalante, I. Duchemin, Y. M. Niquet, R. Geiger, T. Zabel, H. Sigg, J. Faist, A. Chelnokov, V. Reboud, V. Calvo. 1.9% bi-axial tensile strain in thick germanium suspended membranes fabricated in optical germanium-on-insulator substrates for laser applications. Appl. Phys. Lett., 107, 191904(2015).

    [24] V. Reboud, A. Gassenq, K. Guilloy, G. Osvaldo Dias, J. M. Escalante, S. Tardif, N. Pauc, J. M. Hartmann, J. Widiez, E. Gomez, E. Bellet Amalric, D. Fowler, D. Rouchon, I. Duchemin, Y. M. Niquet, F. Rieutord, J. Faist, R. Geiger, T. Zabel, E. Marin, H. Sigg, A. Chelnokov, V. Calvo. Ultra-high amplified strain on 200  mm optical Germanium-On-Insulator (GeOI) substrates: towards CMOS compatible Ge lasers. Proc. SPIE, 9752, 97520F(2016).

    [25] S. Bao, K. H. Lee, C. Wang, B. Wang, R. I. Made, S. F. Yoon, J. Michel, E. Fitzgerald, C. S. Tan. Germanium-on-insulator virtual substrate for InGaP epitaxy. Mater. Sci. Semicond. Process., 58, 15-21(2017).

    [26] K. H. Lee, S. Bao, G. Y. Chong, Y. H. Tan, E. A. Fitzgerald, C. S. Tan. Fabrication and characterization of germanium-on-insulator through epitaxy, bonding, and layer transfer. J. Appl. Phys., 116, 103506(2014).

    [27] K. H. Lee, S. Bao, Y. Lin, W. Li, P. Anantha, L. Zhang, Y. Wang, J. Michel, E. A. Fitzgerald, C. S. Tan. Hetero-epitaxy of high quality germanium film on silicon substrate for optoelectronic integrated circuit applications. J. Mater. Res., 1-16(2017).

    [28] S. R. Hyun-Yong Yu, W. S. Jung, A. K. Okyay, D. A. B. Miller, K. C. Saraswat. High-efficiency p-i-n photodetectors on selective-area-grown Ge for monolithic integration. IEEE Electron Device Lett., 30, 1161-1163(2009).

    [29] Y. Hoshi, K. Sawano, K. Hamaya, M. Miyao, Y. Shiraki. Formation of tensilely strained germanium-on-insulator. Appl. Phys. Express, 5, 015701(2012).

    [30] K.-W. Ang, J. W. Ng, G.-Q. Lo, D.-L. Kwong. Impact of field-enhanced band-traps-band tunneling on the dark current generation in germanium p-i-n photodetector. Appl. Phys. Lett., 94, 223515(2009).

    [31] Y. Dong, W. Wang, D. Lei, X. Gong, Q. Zhou, S. Y. Lee, W. K. Loke, S.-F. Yoon, E. S. Tok, G. Liang, Y.-C. Yeo. Suppression of dark current in germanium-tin on silicon p-i-n photodiode by a silicon surface passivation technique. Opt. Express, 23, 18611-18619(2015).

    [32] K. H. Lee, S. Bao, G. Y. Chong, Y. H. Tan, E. A. Fitzgerald, C. S. Tan. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient. APL Mater., 3, 016102(2015).

    [33] L. Colace, M. Balbi, G. Masini, G. Assanto, H.-C. Luan, L. C. Kimerling. Ge on Si p-i-n photodiodes operating at 10Gbit/s. Appl. Phys. Lett., 88, 101111(2006).

    [34] L. Colace, G. Masini, G. Assanto, H.-C. Luan, K. Wada, L. C. Kimerling. Efficient high-speed near-infrared Ge photodetectors integrated on Si substrates. Appl. Phys. Lett., 76, 1231-1233(2000).

    [35] Z. Zhou, J. He, R. Wang, C. Li, J. Yu. Normal incidence p-i–n Ge heterojunction photodiodes on Si substrate grown by ultrahigh vacuum chemical vapor deposition. Opt. Commun., 283, 3404-3407(2010).

    [36] C. Li, C. Xue, Z. Liu, B. Cheng, C. Li, Q. Wang. High-bandwidth and high-responsivity top-illuminated germanium photodiodes for optical interconnection. IEEE Trans. Electron Devices, 60, 1183-1187(2013).

    [37] D. Suh, S. Kim, J. Joo, G. Kim. 36-GHz high-responsivity Ge photodetectors grown by RPCVD. IEEE Photon. Technol. Lett., 21, 672-674(2009).

    [38] C. G. Van de Walle. Band lineups and deformation potentials in the model-solid theory. Phys. Rev. B, 39, 1871-1883(1989).

    [39] S. L. Chuang. Physics of Photonic Devices, 840(2009).

    [40] J. Liu, D. D. Cannon, K. Wada, Y. Ishikawa, D. T. Danielson, S. Jongthammanurak, J. Michel, L. C. Kimerling. Deformation potential constants of biaxially tensile stressed Geepitaxial films onSi(100). Phys. Rev. B, 70, 155309(2004).

    [41] J. Liu, D. D. Cannon, K. Wada, Y. Ishikawa, S. Jongthammanurak, D. T. Danielson, J. Michel, L. C. Kimerling. Tensile strained Ge p-i-n photodetectors on Si platform for C and L band telecommunications. Appl. Phys. Lett., 87, 011110(2005).

    [42] J. Liu, J. Michel, W. Giziewicz, D. Pan, K. Wada, D. D. Cannon, S. Jongthammanurak, D. T. Danielson, L. C. Kimerling, J. Chen, F. O. M. Ilday, F. X. Kärtner, J. Yasaitis. High-performance, tensile-strained Ge p-i-n photodetectors on a Si platform. Appl. Phys. Lett., 87, 103501(2005).

    [43] M. Jutzi, M. Berroth, G. Wohl, M. Oehme, E. Kasper. Ge-on-Si vertical incidence photodiodes with 39-GHz bandwidth. IEEE Photon. Technol. Lett., 17, 1510-1512(2005).

    Yiding Lin, Kwang Hong Lee, Shuyu Bao, Xin Guo, Hong Wang, Jurgen Michel, Chuan Seng Tan. High-efficiency normal-incidence vertical p-i-n photodetectors on a germanium-on-insulator platform[J]. Photonics Research, 2017, 5(6): 702
    Download Citation