• Journal of Semiconductors
  • Vol. 42, Issue 2, 023102 (2021)
Ying Sun1, Xiao Yu2, Rui Zhang1, Bing Chen1, and Ran Cheng1
Author Affiliations
  • 1School of Micro-Nano Electronics, Zhejiang University, Hangzhou 310058, China
  • 2Intelligent Chip Research Center, Zhejiang Lab, Hangzhou 311121, China
  • show less
    DOI: 10.1088/1674-4926/42/2/023102 Cite this Article
    Ying Sun, Xiao Yu, Rui Zhang, Bing Chen, Ran Cheng. The past and future of multi-gate field-effect transistors: Process challenges and reliability issues[J]. Journal of Semiconductors, 2021, 42(2): 023102 Copy Citation Text show less
    (a–f) Schematics of MuGFETs with different gate geometries: (a) IMEC’s gate-all-around (GAA) MOSFET[14], (b) the world-first FinFET[8], (c) IBM’s double-gate (DG) FinFET[11], (d) STMicroelectronics’s GAA MOSFET[15], (e) Intel’s tri-gate FinFET[10], (f) TSMC’s nanowire FinFET[16]. (g–i) TEM images showing the cross-sectional view of fins/nanowires from early works: (g) IBM’s DG FinFET[11], (h) Intel’s tri-gate FinFET[10], (i) Samsung’s nanowire MOSFET[17], (j) IME’s nanowire GAA MOSFET[18], (k) TSMC’s FinFET[27], (l) STMicroelectronics’s GAA MOSFET[28].
    Fig. 1. (a–f) Schematics of MuGFETs with different gate geometries: (a) IMEC’s gate-all-around (GAA) MOSFET[14], (b) the world-first FinFET[8], (c) IBM’s double-gate (DG) FinFET[11], (d) STMicroelectronics’s GAA MOSFET[15], (e) Intel’s tri-gate FinFET[10], (f) TSMC’s nanowire FinFET[16]. (g–i) TEM images showing the cross-sectional view of fins/nanowires from early works: (g) IBM’s DG FinFET[11], (h) Intel’s tri-gate FinFET[10], (i) Samsung’s nanowire MOSFET[17], (j) IME’s nanowire GAA MOSFET[18], (k) TSMC’s FinFET[27], (l) STMicroelectronics’s GAA MOSFET[28].
    (Color online) Evolution of MuGFETs from the planar device to the stacking structures. (a) Planar MOSFET. (b) Double-gate (DG) fully depleted SOI MOSFET. (c) FinFET. (d) Ω-gate MOSFET. (e) GAA NW MOSFET. (f) GAA multilayer nanosheet MOSFET.
    Fig. 2. (Color online) Evolution of MuGFETs from the planar device to the stacking structures. (a) Planar MOSFET. (b) Double-gate (DG) fully depleted SOI MOSFET. (c) FinFET. (d) Ω-gate MOSFET. (e) GAA NW MOSFET. (f) GAA multilayer nanosheet MOSFET.
    (Color online) DIBL performance as a function of gate length among FDSOI, FinFET and planar technologies[29].
    Fig. 3. (Color online) DIBL performance as a function of gate length among FDSOI, FinFET and planar technologies[29].
    (Color online) Comparison of DIBL from ETSOI, DG, tri-gate, and GAA technologies as effective channel length reduces. Data are obtained from IBM and Intel Corp[30, 31].
    Fig. 4. (Color online) Comparison of DIBL from ETSOI, DG, tri-gate, and GAA technologies as effective channel length reduces. Data are obtained from IBM and Intel Corp[30, 31].
    (Color online) ION–DIBL characteristics for MuGFETs with various technologies. As DIBL is a direct indicator of SCE suppression, devices with higher ION and lower DIBL suggests a better electrostatic performance[11, 17–19, 31–39].
    Fig. 5. (Color online) ION–DIBL characteristics for MuGFETs with various technologies. As DIBL is a direct indicator of SCE suppression, devices with higher ION and lower DIBL suggests a better electrostatic performance[11, 1719, 3139].
    (Color online) Thermal resistivity Rth of Si fins for 14, 10 and 7 nm technology nodes as (a) the number of fins varies and (b) the fin height/aspect ratio changes[46, 47].
    Fig. 6. (Color online) Thermal resistivity Rth of Si fins for 14, 10 and 7 nm technology nodes as (a) the number of fins varies and (b) the fin height/aspect ratio changes[46, 47].
    (Color online) Thermal conductivity for various materials used in gate stack, source/drain, channel, isolation and interconnects in a MuGFET. The conductivity reduces as the technology node shrinks. Higher mobility channel materials like Ge and SiGe also exhibit lower thermal conductivity[48–52].
    Fig. 7. (Color online) Thermal conductivity for various materials used in gate stack, source/drain, channel, isolation and interconnects in a MuGFET. The conductivity reduces as the technology node shrinks. Higher mobility channel materials like Ge and SiGe also exhibit lower thermal conductivity[4852].
    ID–VG characteristics of a Si FinFET measured at drain voltage VD = 1.0 V illustrated in both logarithm (left) and linear (right) scales. The threshold voltage is taken by constant current method while IDsat is taken as the drain current at VG = VTsat + 1.0 V[24].
    Fig. 8. IDVG characteristics of a Si FinFET measured at drain voltage VD = 1.0 V illustrated in both logarithm (left) and linear (right) scales. The threshold voltage is taken by constant current method while IDsat is taken as the drain current at VG = VTsat + 1.0 V[24].
    (Color online) (a) The schematic illustration of a pulsed I–V testing system for a MOSFET. Pulsed signals are input at the gate electrode and sensed at the drain electrode while the source electrode is kept ground[24, 25]. (b) The waveform of VG used for the ID–VG characterization. (c) ID–VG characteristics of a Si FinFET (LG = 80 nm) measured at VD = 1.0 V, using pulse measurement with various pulse widths[24].
    Fig. 9. (Color online) (a) The schematic illustration of a pulsed IV testing system for a MOSFET. Pulsed signals are input at the gate electrode and sensed at the drain electrode while the source electrode is kept ground[24, 25]. (b) The waveform of VG used for the IDVG characterization. (c) IDVG characteristics of a Si FinFET (LG = 80 nm) measured at VD = 1.0 V, using pulse measurement with various pulse widths[24].
    (a) Total resistance RTotal = VD/ID at VD = 50 mV as a function of VG at various characterization temperature. The experimental data (dots) fits well with the resistance model (lines)[24]. (b) Corrected λo/lo ratio for FinFETs at LG ranging from ~20 to ~150 nm, measured by both DC and pulsed I–V methods[24].
    Fig. 10. (a) Total resistance RTotal = VD/ID at VD = 50 mV as a function of VG at various characterization temperature. The experimental data (dots) fits well with the resistance model (lines)[24]. (b) Corrected λo/lo ratio for FinFETs at LG ranging from ~20 to ~150 nm, measured by both DC and pulsed I–V methods[24].
    A summary of 1/Bsat as a function of LG for Si FinFETs, GeOI FETs and FDSOI/UTB FETs. Experimentally extracted Bsat before and after RSD correction, with and without SHE are provided for comparison with the simulated curves[24, 25].
    Fig. 11. A summary of 1/Bsat as a function of LG for Si FinFETs, GeOI FETs and FDSOI/UTB FETs. Experimentally extracted Bsat before and after RSD correction, with and without SHE are provided for comparison with the simulated curves[24, 25].
    (Color online) The change of interface trap density ΔNit as the fin density and VD increase for bulk FinFETs. The stress time tstress is 1000 s[23].
    Fig. 12. (Color online) The change of interface trap density ΔNit as the fin density and VD increase for bulk FinFETs. The stress time tstress is 1000 s[23].
    (Color online) Aging contribution from NBTI, PBTI, NHCD, and PHCD are compared for 14 and 10 nm technology nodes. Since the improvement in NBTI from 14 to 10 nm node could compensate the aggravation of HCD for both p- and n-FinFETs, the end-of-line (EOL) drive for the 10 nm node actually improves by 1.65 times[45].
    Fig. 13. (Color online) Aging contribution from NBTI, PBTI, NHCD, and PHCD are compared for 14 and 10 nm technology nodes. Since the improvement in NBTI from 14 to 10 nm node could compensate the aggravation of HCD for both p- and n-FinFETs, the end-of-line (EOL) drive for the 10 nm node actually improves by 1.65 times[45].
    (Color online) Comparison of SS and ION/Ioff ratio at various LG for MuGFETs with novel high-mobility channels[76–83].
    Fig. 14. (Color online) Comparison of SS and ION/Ioff ratio at various LG for MuGFETs with novel high-mobility channels[7683].
    Ying Sun, Xiao Yu, Rui Zhang, Bing Chen, Ran Cheng. The past and future of multi-gate field-effect transistors: Process challenges and reliability issues[J]. Journal of Semiconductors, 2021, 42(2): 023102
    Download Citation