• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922027 (2022)
Zili Li, Xiaohua Hu, and Shisheng Xiong*
Author Affiliations
  • School of Information Science and Technology, Fudan University, Shanghai 200438, China
  • show less
    DOI: 10.3788/LOP202259.0922027 Cite this Article Set citation alerts
    Zili Li, Xiaohua Hu, Shisheng Xiong. DSA in Combination with DUV Lithography for Sub-10 nm Manufacturing[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922027 Copy Citation Text show less
    References

    [1] Hu X H, Xiong S S. Advanced lithography: directed self-assembly[J]. Chinese Journal of Applied Chemistry, 38, 1029-1078(2021).

    [2] Wei Y Y[M]. Advanced lithography theory and application of VLSI(2016).

    [3] Hu X H, Xiong S S. Fabrication of nanodevices through block copolymer self-assembly[J]. Frontiers in Nanotechnology, 4, 762996(2022).

    [4] Mao Y J, Li S K, Wang X Z et al. Multi-parameter joint optimization for lithography based on photoresist topography model[J]. Acta Optica Sinica, 40, 0422002(2020).

    [5] Zhu S Y, Yang B X, Ma X Z et al. Research on high energy efficiency pupil correction based on multi-ring partition in photolithography machine[J]. Chinese Journal of Lasers, 48, 1704001(2021).

    [6] Yang J J, Fan J, Ma X H et al. Deep etching process of GaAs-based micro-nano grating based on multilayer resist[J]. Chinese Journal of Lasers, 49, 0313002(2022).

    [7] Minegishi S, Naruoka T, Nagai T. Directed self assembly materials for semiconductor lithography[J]. Journal of Photopolymer Science and Technology, 26, 793-800(2013).

    [8] Pan D Z. Directed self-assembly for advanced chips[J]. Nature Electronics, 1, 530-531(2018).

    [9] Yaegashi H, Oyama K, Yamauchi S et al. Opportunities and challenges in scaling[J]. Journal of Photopolymer Science and Technology, 27, 731-738(2014).

    [10] Yaegashi H, Oyama K, Yamauchi S et al. Enabled scaling capability with self-aligned multiple patterning process[J]. Journal of Photopolymer Science and Technology, 27, 491-496(2014).

    [11] Neisser M. International roadmap for devices and systems lithography roadmap[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 20, 044601(2021).

    [12] van Schoot J, Lok S, van Setten E et al. High-NA EUV lithography exposure tool: advantages and program progress[J]. Proceedings of SPIE, 11517, 1151712(2021).

    [13] Li L, Liu X, Pal S et al. Extreme ultraviolet resist materials for sub-7 nm patterning[J]. Chemical Society Reviews, 46, 4855-4866(2017).

    [14] Kwak J, Mishra A K, Lee J et al. Fabrication of sub-3 nm feature size based on block copolymer self-assembly for next-generation nanolithography[J]. Macromolecules, 50, 6813-6818(2017).

    [15] Chen Y, Xiong S S. Directed self-assembly of block copolymers for sub-10 nm fabrication[J]. International Journal of Extreme Manufacturing, 2, 032006(2020).

    [16] Hasan R M M, Luo X C. Promising lithography techniques for next-generation logic devices[J]. Nanomanufacturing and Metrology, 1, 67-81(2018).

    [17] Jeong S J, Kim J Y, Kim B H et al. Directed self-assembly of block copolymers for next generation nanolithography[J]. Materials Today, 16, 468-476(2013).

    [18] Singh A, Chan B T, Parnell D et al. Patterning sub-25 nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patterns[J]. Proceedings of SPIE, 9425, 94250X(2015).

    [19] Liu C C, Thode C J, Rincon Delgadillo P A et al. Towards an all-track 300 mm process for directed self-assembly[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 29, 06F203(2011).

    [20] Liu C C, Franke E, Mignot Y et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond[J]. Nature Electronics, 1, 562-569(2018).

    [21] Liu C C, Yoshimoto K, de Pablo J D et al[M]. Directed self-assembly of block copolymers, 767-824(2020).

    [22] Cacho M G G, Pimenta-Barros P, Argoud M et al. Etching of sub-10 nm half-pitch high Chi block copolymers for directed self-assembly (DSA) application[J]. Microelectronic Engineering, 230, 111369(2020).

    [23] Mai Y Y, Eisenberg A. Self-assembly of block copolymers[J]. Chemical Society Reviews, 41, 5969-5985(2012).

    [24] Sinturel C, Bates F S, Hillmyer M A. High χ-low N block polymers: how far can we go?[J]. ACS Macro Letters, 4, 1044-1050(2015).

    [25] Li Z L, Tang M, Liang S et al. Bottlebrush polymers: from controlled synthesis, self-assembly, properties to applications[J]. Progress in Polymer Science, 116, 101387(2021).

    [26] Bates F S, Fredrickson G H. Block copolymer thermodynamics: theory and experiment[J]. Annual Review of Physical Chemistry, 41, 525-557(1990).

    [27] Park S M, Stoykovich M, Ruiz R et al. Directed assembly of lamellae- forming block copolymers by using chemically and topographically patterned substrates[J]. Advanced Materials, 19, 607-611(2007).

    [28] Kim S O, Solak H H, Stoykovich M P et al. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates[J]. Nature, 424, 411-414(2003).

    [29] Li Z L, Xu X R, Zhan J H et al. Advanced materials for lithography[J]. Chinese Journal of Applied Chemistry(2022).

    [30] Russell T P, Hjelm R P, Seeger P A. Temperature dependence of the interaction parameter of polystyrene and poly(methyl methacrylate)[J]. Macromolecules, 23, 890-893(1990).

    [31] Manouras T, Argitis P. High sensitivity resists for EUV lithography: a review of material design strategies and performance results[J]. Nanomaterials, 10, 1593(2020).

    [32] Luo C Y, Xu C C, Lv L et al. Review of recent advances in inorganic photoresists[J]. RSC Advances, 10, 8385-8395(2020).

    [33] Han E, Kang H M, Liu C C et al. Graphoepitaxial assembly of symmetric block copolymers on weakly preferential substrates[J]. Advanced Materials, 22, 4325-4329(2010).

    [34] Cheng J Y, Sanders D P, Truong H D et al. Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist[J]. ACS Nano, 4, 4815-4823(2010).

    [35] Jeong S J, Kim J E, Moon H S et al. Soft graphoepitaxy of block copolymer assembly with disposable photoresist confinement[J]. Nano Letters, 9, 2300-2305(2009).

    [36] Liu C C, Han E, Onses M S et al. Fabrication of lithographically defined chemically patterned polymer brushes and mats[J]. Macromolecules, 44, 1876-1885(2011).

    [37] Pathangi H, Stokhof M, Knaepen W et al. Improved cost-effectiveness of the block co-polymer anneal process for DSA[J]. Proceedings of SPIE, 9777, 97771Z(2016).

    [38] Suh H S, Mannaert G, Vandenbroeck N et al. Development of high-Chi directed self-assembly process based on key learning from PS-b-PMMA system[J]. Proceedings of SPIE, 11612, 116120P(2021).

    [39] Li X M, Li J, Wang C X et al. Fast self-assembly of polystyrene-b-poly(fluoro methacrylate) into sub-5 nm microdomains for nanopatterning applications[J]. Journal of Materials Chemistry C, 7, 2535-2540(2019).

    [40] Sarrazin A, Posseme N, Pimenta-Barros P et al. PMMA removal selectivity to polystyrene using dry etch approach[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 34, 061802(2016).

    [41] Oehrlein G S, Phaneuf R J, Graves D B. Plasma-polymer interactions: a review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication[J]. Journal of Vacuum Science & Technology B, 29, 010801(2011).

    [42] Farrell R A, Petkov N, Shaw M T et al. Monitoring PMMA elimination by reactive ion etching from a lamellar PS-b-PMMA thin film by ex situ TEM methods[J]. Macromolecules, 43, 8651-8655(2010).

    [43] Sarrazin A, Posseme N, Pimenta-Barros P et al. New CH4-N2 dry etch chemistry for poly(methyl methacrylate) removal without consuming polystyrene for lamellar copolymers application[J]. Journal of Vacuum Science & Technology B, 37, 030601(2019).

    [44] Barros P P, Barnola S, Gharbi A et al. Etch challenges for DSA implementation in CMOS via patterning[J]. Proceedings of SPIE, 9054, 90540G(2014).

    [45] Miyazoe H, Jagtiani A V, Tsai H Y et al. Highly selective dry etching of polystyrene-poly(methyl methacrylate) block copolymer by gas pulsing carbon monoxide-based plasmas[J]. Journal of Physics D: Applied Physics, 50, 204001(2017).

    [46] Servin I, Tiron R, Gharbi A et al. Contact hole shrink by directed self-assembly: process integration and stability monitored on 300 mm pilot line[J]. Japanese Journal of Applied Physics, 53, 06JC05(2014).

    [47] Gharbi A, Tiron R, Pimenta Barros P et al. PMMA removal options by wet development in PS-b-PMMA block copolymer for nanolithographic mask fabrication[J]. Journal of Vacuum Science & Technology B, 33, 051602(2015).

    [48] Seino Y, Yonemitsu H, Sato H et al. Contact hole shrink process using directed self-assembly[J]. Proceedings of SPIE, 8323, 83230Y(2012).

    [49] Rathsack B, Somervell M, Hooge J et al. Pattern scaling with directed self assembly through lithography and etch process integration[J]. Proceedings of SPIE, 8323, 83230B(2012).

    [50] Singh A, Knaepen W, Sayan S et al. Impact of sequential infiltration synthesis on pattern fidelity of DSA lines[J]. Proceedings of SPIE, 9425, 94250N(2015).

    [51] Baryshnikova M, de Simone D, Knaepen W et al. Sequential infiltration synthesis for line edge roughness mitigation of EUV resist[J]. Journal of Photopolymer Science and Technology, 30, 667-670(2017).

    [52] Vanelderen P, Blanco V, Mao M et al. Impact of sequential infiltration synthesis (SIS) on roughness and stochastic nano-failures for EUVL patterning[J]. Proceedings of SPIE, 10957, 109570S(2019).

    [53] Xiong S S, Wan L, Ishida Y et al. Directed self-assembly of triblock copolymer on chemical patterns for sub-10-nm nanofabrication via solvent annealing[J]. ACS Nano, 10, 7855-7865(2016).

    [54] Doerk G S, Cheng J Y, Singh G et al. Enabling complex nanoscale pattern customization using directed self-assembly[J]. Nature Communications, 5, 5805(2014).

    [55] Cheng J, Doerk G S, Rettner C T et al. Customization and design of directed self-assembly using hybrid prepatterns[J]. Proceedings of SPIE, 9423, 942307(2015).

    [56] Doerk G S, Cheng J Y, Rettner C T et al. Deterministically isolated gratings through the directed self-assembly of block copolymers[J]. Proceedings of SPIE, 8680, 86800Y(2013).

    [57] Doise J, Bekaert J, Chan B T et al. Implementation of surface energy modification in graphoepitaxy directed self-assembly for hole multiplication[J]. Journal of Vacuum Science & Technology B, 33, 06F301(2015).

    [58] Bekaert J, Doise J, Kuppuswamy V K M et al. Contact hole multiplication using grapho-epitaxy directed self-assembly: process choices, template optimization, and placement accuracy[J]. Proceedings of SPIE, 9231, 92310R(2014).

    [59] Herr D J C. Directed block copolymer self-assembly for nanoelectronics fabrication[J]. Journal of Materials Research, 26, 122-139(2011).

    [60] Stoykovich M P, Müller M, Kim S O et al. Directed assembly of block copolymer blends into nonregular device-oriented structures[J]. Science, 308, 1442-1446(2005).

    [61] Stoykovich M P, Kang H M, Daoulas K C et al. Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries[J]. ACS Nano, 1, 168-175(2007).

    [62] Gronheid R, Delgadillo P R, Pathangi H et al. Defect reduction and defect stability in IMEC’s 14 nm half-pitch chemo-epitaxy DSA flow[J]. Proceedings of SPIE, 9049, 904905(2014).

    [63] Delgadillo P R, Suri M, Durant S et al. Defect source analysis of directed self-assembly process[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 12, 031112(2013).

    [64] Li J J, Rincon-Delgadillo P, Suh H S et al. Kinetics of defect annihilation in chemo-epitaxy directed self-assembly[J]. Proceedings of SPIE, 10960, 109600V(2019).

    [65] Gusmão Cacho M G, Benotmane K, Le Pennec A et al. Study of plasma etching impact on chemoepitaxy directed self-assembly[J]. Journal of Vacuum Science & Technology A, 39, 033004(2021).

    [66] Pound-Lana G, Bézard P, Petit-Etienne C et al. Dry-etching processes for high-aspect-ratio features with sub-10 nm resolution high-χ block copolymers[J]. ACS Applied Materials & Interfaces, 13, 49184-49193(2021).

    [67] Suh H S, Dudash V, Lorusso G et al. Roughness study on line and space patterning with chemo-epitaxy directed self-assembly[J]. Proceedings of SPIE, 11326, 113260X(2020).

    [68] Wang Z J, Wylie K, Marić M L. Synthesis of narrow molecular weight distribution copolymers for ArF photoresist materials by nitroxide mediated polymerization[J]. Macromolecular Reaction Engineering, 11, 1600029(2017).

    [69] Muramatsu M, Nishi T, Ido Y et al. Defect mitigation of chemo-epitaxy DSA patterns[J]. Proceedings of SPIE, 11326, 113260Y(2020).

    [70] Suh H, Delabie A, Armini S. Building patterns from the bottom: a complementary approach to lithography[J]. Semiconductor Digest, 2, 14-19(2020).

    [71] Muramatsu M, Nishi T, Ido Y et al. DSA process optimization for high volume manufacturing[J]. Proceedings of SPIE, 11610, 116100N(2021).

    [72] Rincon Delgadillo P A, Gronheid R, Thode C J et al. All track directed self-assembly of block copolymers: process flow and origin of defects[J]. Proceedings of SPIE, 8323, 83230D(2012).

    [73] Landis S, Teyssedre H, Claveau G et al. Nanoimprint, DSA, and multi-beam lithography: patterning technologies with new integration challenges[J]. Proceedings of SPIE, 10149, 101490K(2017).

    [74] Kagan C R, Hyeon T, Kim D H et al. Self-assembly for electronics[J]. MRS Bulletin, 45, 807-814(2020).

    [75] Tsai H, Miyazoe H, Vora A et al. High Chi block copolymer DSA to improve pattern quality for FinFET device fabrication[J]. Proceedings of SPIE, 9779, 977910(2016).

    [76] Li D X, Chien C L, Wei X N et al. Sub-10 nm silicon FinFET devices on SOI substrate made by block copolymer lithography[C](2018).

    [77] Doerk G S, Gao H, Wan L et al. Transfer of self-aligned spacer patterns for single-digit nanofabrication[J]. Nanotechnology, 26, 085304(2015).

    [78] Hong A J, Liu C C, Wang Y et al. Metal nanodot memory by self-assembled block copolymer lift-off[J]. Nano Letters, 10, 224-229(2010).

    [79] Xiong S S, Chapuis Y A, Wan L et al. Directed self-assembly of high-Chi block copolymer for nano fabrication of bit patterned media via solvent annealing[J]. Nanotechnology, 27, 415601(2016).

    Zili Li, Xiaohua Hu, Shisheng Xiong. DSA in Combination with DUV Lithography for Sub-10 nm Manufacturing[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922027
    Download Citation