• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922022 (2022)
Wei Cheng1、2, Sikun Li1、2, Zinan Zhang1、2, and Xiangzhao Wang1、2、*
Author Affiliations
  • 1Laboratory of Information Optics and Opt-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/LOP202259.0922022 Cite this Article Set citation alerts
    Wei Cheng, Sikun Li, Zinan Zhang, Xiangzhao Wang. Research on Mask Defect Inspection and Compensation Techniques in Extreme Ultraviolet Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922022 Copy Citation Text show less
    References

    [1] Wang X Z, Dai F Z, Li S K et al[M]. Integrated circuit and lithographic tool(2020).

    [2] Wang X Z, Dai F Z, Tang F et al[M]. Image quality measurement techniques for lithographic tools (volume Ⅰ)(2021).

    [3] Wang X Z, Dai F Z, Tang F et al[M]. Image quality measurement techniques for lithographic tools (volume Ⅱ)(2021).

    [4] Zhang H. Study on three-dimensional mask modeling and defect compensation method in extreme ultraviolet lithography[D](2019).

    [5] Cao Y T. Simplified mask diffraction model and its application in extreme ultraviolet lithography[D](2012).

    [6] Capelli R, Dietzel M, Hellweg D et al. Actinic metrology platform for defect review and mask qualification: flexibility and performance[J]. Proceedings of SPIE, 10957, 109570X(2019).

    [7] Liu X L. Study on mask modeling and defect compensation method in extreme ultraviolet lithography[D]. Shanghai. Shanghai Institute of Optics and Fine Mechanics(2015).

    [8] Bakshi V[M]. EUV lithography(2018).

    [9] Jonckheere R. Overcoming EUV mask blank defects: what we can, and what we should[J]. Proceedings of SPIE, 10454, 104540M(2017).

    [10] Liu X L, Li S K, Wang X Z. Simplified model for defective multilayer diffraction spectrum simulation in extreme ultraviolet lithography[J]. Acta Optica Sinica, 34, 0905002(2014).

    [11] Hashimoto T, Yamanashi H, Sugawara M et al. Lithographic characterization of EUVL mask blank defects[J]. Proceedings of SPIE, 5374, 740-750(2004).

    [12] Wood O R. EUVL: challenges to manufacturing insertion[J]. Journal of Photopolymer Science and Technology, 30, 599-604(2017).

    [13] Liu X L, Li S K, Wang X Z. Simulation model based on equivalent layer method for defective mask multilayer in extremeultra violet lithography[J]. Acta Optica Sinica, 35, 0622005(2015).

    [14] Zhang H, Li S K, Wang X Z et al. Optimization of defect compensation for extreme ultraviolet lithography mask by covariance-matrix-adaption evolution strategy[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 043505(2018).

    [15] Jonckheere R. EUV mask defectivity-a process of increasing control toward HVM[J]. Advanced Optical Technologies, 6, 203-220(2017).

    [16] Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 38, 0105001(2018).

    [17] Zhang H, Li S K, Wang X Z et al. 3D rigorous simulation of defective masks used for EUV lithography via machine learning-based calibration[J]. Acta Optica Sinica, 38, 1222002(2018).

    [18] Cheng W, Li S K, Wang X Z et al. Method for profile reconstruction of phase defects in extreme ultraviolet lithography mask[J]. Acta Optica Sinica, 40, 1005001(2020).

    [19] Cheng W, Li S K, Wang X Z et al. Extreme ultraviolet phase defect characterization based on complex amplitudes of the aerial images[J]. Applied Optics, 60, 5208-5219(2021).

    [20] Liu X L, Li S K, Wang X Z et al. Optimal shift of pattern shifting for mitigation of mask defects in extreme ultraviolet lithography[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 33, 051603(2015).

    [21] Zhang H, Li S K, Wang X Z et al. Fast optimization of defect compensation and optical proximity correction for extreme ultraviolet lithography mask[J]. Optics Communications, 452, 169-180(2019).

    [22] Ge D B, Yan Y B[M]. Finite difference time domain method for electromagnetic wave(2002).

    [23] Zhu Z R, Lucas K, Cobb J L et al. Rigorous EUV mask simulator using 2D and 3D waveguide methods[J]. Proceedings of SPIE, 5037, 494-503(2003).

    [24] Evanschitzky P, Erdmann A. Three dimensional EUV simulations: a new mask near field and imaging simulation system[J]. Proceedings of SPIE, 5992, 59925B(2005).

    [25] Smaali R, Besacier M, Schiavone P. Three-dimensional rigorous simulation of EUV defective masks using modal method by Fourier expansion[J]. Proceedings of SPIE, 6151, 615124(2006).

    [26] Clifford C H, Neureuther A R. Smoothing based fast model for images of isolated buried EUV multilayer defects[J]. Proceedings of SPIE, 6921, 692119(2008).

    [27] Clifford C H, Neureuther A R. Fast simulation methods and modeling for extreme ultraviolet masks with buried defects[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 8, 031402(2009).

    [28] Gullikson E M, Cerjan C, Stearns D G et al. Practical approach for modeling extreme ultraviolet lithography mask defects[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 20, 81-86(2002).

    [29] Pang L L, Clifford C, Hu P et al. Compensation for EUV multilayer defects within arbitrary layouts by absorber pattern modification[J]. Proceedings of SPIE, 7969, 79691E(2011).

    [30] Pang L Y, Satake M, Li Y et al. EUV multilayer defect compensation (MDC) by absorber pattern modification: improved performance with deposited material and other progresses[J]. Proceedings of SPIE, 8522, 85220J(2012).

    [31] Evanschitzky P, Shao F, Erdmann A. Efficient simulation of extreme ultraviolet multilayer defects with rigorous data base approach[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 12, 021005(2013).

    [32] Jonckheere R, van den Heuvel D, Pacco A et al. Towards reduced impact of EUV mask defectivity on wafer[J]. Proceedings of SPIE, 9256, 92560L(2014).

    [33] Urbach J P, Cavelaars J F W, Kusunose H et al. EUV substrate and blank inspection with confocal microscopy[J]. Proceedings of SPIE, 5256, 556-565(2003).

    [34] Broadbent W H, Alles D S, Giusti M T et al. Results from a new 193 nm die-to-database reticle inspection platform[J]. Proceedings of SPIE, 7748, 774828(2010).

    [35] Broadbent W, Inderhees G, Yamamoto T et al. EUV reticle inspection with a 193 nm reticle inspector[J]. Proceedings of SPIE, 8701, 87010W(2013).

    [36] Antohe A O, Kearney P, Godwin M et al. Production of EUV mask blanks with low killer defects[J]. Proceedings of SPIE, 9048, 90480H(2014).

    [37] Tchikoulaeva A, Miyai H, Suzuki T et al. EUV actinic blank inspection: from prototype to production[J]. Proceedings of SPIE, 8679, 86790L(2013).

    [38] Liang T, Magana J, Chakravorty K et al. EUV mask infrastructure readiness and gaps for TD and HVM[J]. Proceedings of SPIE, 9635, 963509(2015).

    [39] Yamane T, Kim Y, Takagi N et al. Performance in practical use of actinic EUVL mask blank inspection[J]. Proceedings of SPIE, 9256, 92560P(2014).

    [40] Yamane T, Amano T, Takagi N et al. Advances in the detection capability on actinic blank inspection[J]. Proceedings of SPIE, 9776, 97761G(2016).

    [41] Miyai H, Suzuki T, Takehisa K et al. The capability of high magnification review function for EUV actinic blank inspection tool[J]. Proceedings of SPIE, 8701, 870118(2013).

    [42] Kamo T, Takai K, Iida N et al. Evaluation of etched multilayer mask for 0.33 NA EUVL extension[C](2016).

    [43] Kwon H J, Harris-Jones J, Teki R et al. Printability of native blank defects and programmed defects and their stack structures[J]. Proceedings of SPIE, 8166, 81660H(2011).

    [44] Stearns D G, Mirkarimi P B, Spiller E. Localized defects in multilayer coatings[J]. Thin Solid Films, 446, 37-49(2004).

    [45] Pang L Y, Satake M, Li Y et al. EUV multilayer defect compensation (MDC) by absorber pattern modification, film deposition, and multilayer peeling techniques[J]. Proceedings of SPIE, 8679, 86790U(2013).

    [46] Xu D B, Evanschitzky P, Erdmann A. Extreme ultraviolet multilayer defect analysis and geometry reconstruction[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 014002(2016).

    [47] Chen Y, Lin Y B, Chen R et al. EUV multilayer defect characterization via cycle-consistent learning[J]. Optics Express, 28, 18493-18506(2020).

    [48] Zheng G, Horstmeyer R, Yang C. Wide-field, high-resolution Fourier ptychographic microscopy[J]. Nature Photonics, 7, 739-745(2013).

    [49] Yu F, Koltun V, Funkhouser T. Dilated residual networks[C], 636-644(2017).

    [50] Zhang Y L, Zhou T K, Fang L et al. Conformal convolutional neural network (CCNN) for single-shot sensorless wavefront sensing[J]. Optics Express, 28, 19218-19228(2020).

    [51] Miyai H, Kohyama T, Suzuki T et al. Actinic patterned mask defect inspection for EUV lithography[J]. Proceedings of SPIE, 11148, 111480W(2019).

    [52] Liang T, Tezuka Y, Jager M et al. EUV mask infrastructure and actinic pattern mask inspection[J]. Proceedings of SPIE, 11323, 1132310(2020).

    [53] Mochi I, Helfenstein P, Mohacsi I et al. RESCAN: an actinic lensless microscope for defect inspection of EUV reticles[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 041003(2017).

    [54] Rajendran R, Mochi I, Helfenstein P et al. Towards a stand-alone high-throughput EUV actinic photomask inspection tool: RESCAN[J]. Proceedings of SPIE, 10145, 101450N(2017).

    [55] Mochi I, Helfenstein P, Rajeev R et al. Through-pellicle inspection of EUV masks[J]. Proceedings of SPIE, 10583, 105831L(2018).

    [56] Capelli R, Hellweg D, Dietzel M et al. Aerial image based metrology of EUV masks: recent achievements, status, and outlook for the AIMS EUV platform[J]. Proceedings of SPIE, 10583, 1058311(2018).

    [57] Hellweg D, Dietzel M, Capelli R et al. Actinic review of EUV masks: challenges and achievements in delivering the perfect mask for EUV production[J]. Proceedings of SPIE, 10451, 104510J(2017).

    [58] Benk M P, Chao W L, Miyakawa R H et al. Upgrade to the SHARP EUV mask microscope[J]. Proceedings of SPIE, 10957, 109570V(2019).

    [59] Yan P Y, Wagner C. EUVL ML blank fiducial mark generation via local heating[J]. Proceedings of SPIE, 5374, 254-260(2004).

    [60] Burns J, Abbas M. EUV mask defect mitigation through pattern placement[J]. Proceedings of SPIE, 7823, 782340(2010).

    [61] Zhang H B, Du Y L, Wong M D F et al. Layout small-angle rotation and shift for EUV defect mitigation[C], 43-49(2012).

    [62] Kagalwalla A A, Gupta P, Hur D H et al. Defect-aware reticle floor planning for EUV masks[J]. Proceedings of SPIE, 7974, 79740Z(2011).

    [63] Garetto A D, Capelli R, Blumrich F et al. Defect mitigation considerations for EUV photomasks[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 043006(2014).

    [64] Ray-Chaudhuri A K, Cardinale G, Fisher A et al. Method for compensation of extreme-ultraviolet multilayer defects[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 17, 3024-3028(1999).

    [65] McIntyre G, Gallagher E, Robinson T et al. Through-focus EUV multilayer defect repair with nanomachining[J]. Proceedings of SPIE, 8679, 86791I(2013).

    [66] Clifford C H, Chan T T, Neureuther A R et al. Compensation methods using a new model for buried defects in extreme ultraviolet lithography masks[J]. Proceedings of SPIE, 7823, 78230V(2010).

    [67] Erdmann A, Evanschitzky P, Bret T et al. Modeling strategies for EUV mask multilayer defect dispositioning and repair[J]. Proceedings of SPIE, 8679, 86790Y(2013).

    [68] Zhao S, Qi Z J. Phase-independent multilayer defect repair for EUV photomasks[J]. Proceedings of SPIE, 9985, 998517(2016).

    Wei Cheng, Sikun Li, Zinan Zhang, Xiangzhao Wang. Research on Mask Defect Inspection and Compensation Techniques in Extreme Ultraviolet Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922022
    Download Citation