• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922007 (2022)
Guodong Chen1、2, Zinan Zhang1、2, Sikun Li1、2, and Xiangzhao Wang1、2、*
Author Affiliations
  • 1Laboratory of Information Optics and Opto-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/LOP202259.0922007 Cite this Article Set citation alerts
    Guodong Chen, Zinan Zhang, Sikun Li, Xiangzhao Wang. Study on Deep Ultraviolet Computational Lithography Techniques[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922007 Copy Citation Text show less
    References

    [1] Wang X Z, Dai F Z, Li S K et al[M]. Integrated circuit and lithographic tool(2020).

    [2] Wang X Z, Dai F Z[M]. Image quality measurement techniques for lithographic tool (volume Ⅰ)(2021).

    [3] Wang X Z, Dai F Z[M]. Image quality measurement techniques for lithographic tool (volume Ⅱ)(2021).

    [4] Mao Y J. Study on key techniques of scanner matching[D](2019).

    [5] Zhu B E. Study on wavefront aberration measurement for immersion lithographic projection lens[D](2018).

    [6] Meng Z J. Study on polarization aberration measurement for immersion lithographic projection lens[D](2019).

    [7] Zhang H. Study on three-dimensional mask modeling and defect compensation method in extreme ultraviolet lithography[D](2019).

    [8] Yang C X. Study on source mask optimization based on genetic algorithm for lithography[D](2016).

    [9] Chen G D. Study on computational lithography techniques for deep ultraviolet lithography[D](2021).

    [10] Li Z Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[D](2014).

    [11] Wang L. Source mask projector optimization using particle swarm optimization algorithm in optical lithography[D](2017).

    [12] Qiu Z C. The lithographic imaging models based on the scalar and vector diffraction theories and their application[D](2010).

    [13] Zhang Z N, Li S K, Wang X Z et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 29, 5448-5465(2021).

    [14] Zhang Z N, Li S K, Wang X Z et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 29, 22778-22795(2021).

    [15] Liao L F, Li S K, Wang X Z et al. Critical pattern selection method for full-chip source and mask optimization[J]. Optics Express, 28, 20748-20763(2020).

    [16] Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 38, 0105001(2018).

    [17] Chen G D, Li S K, Wang X Z. Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling[J]. Optics Express, 29, 17440-17463(2021).

    [18] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 45, 285-293(2013).

    [19] Yang C X, Wang X Z, Li S K et al. Source mask optimization using real-coded genetic algorithms[J]. Proceedings of SPIE, 8683, 86831T(2013).

    [20] Yang C X, Li S K, Wang X Z. Efficient source mask optimization using multipole source representation[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 043001(2014).

    [21] Yang C X, Li S K, Wang X Z. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 36, 0811001(2016).

    [22] Li Z Z, Li S K, Wang X Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[J]. Acta Optica Sinica, 34, 0911002(2014).

    [23] Chen G D, Li S K, Wang X Z. Source mask optimization using the covariance matrix adaptation evolution strategy[J]. Optics Express, 28, 33371-33389(2020).

    [24] Chen G D, Li S K, Wang X Z. Curve type reverse photoetching method based on fast covariance matrix adaptive evolutionary strategy[P].

    [25] Chen G D, Li S K, Wang X Z. Curved reverse lithography method based on mask pattern synthesized by superposition of modulation blocks[P].

    [26] Chen G D, Li S K, Wang X Z. Curve reverse lithography method based on sub-resolution assisted graphic seed insertion[P].

    [27] Abbe E K. Beiträge zur theorie des mikroskops und der mikroskopischen wahrnehmung[J]. Archiv Für Mikroskopische Anatomie, 9, 413-468(1873).

    [28] Rayleigh L. Investigations in optics, with special reference to the spectroscope[J]. The London, Edinburgh, and Dublin Philosophical Magazine and Journal of Science, 8, 261-274(1879).

    [29] Kirchhoff G. Zur theorie der lichtstrahlen[J]. Annalen Der Physik, 254, 663-695(1883).

    [30] Hopkins H H. On the diffraction theory of optical images[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 217, 408-432(1953).

    [31] Richards B, Wolf E. Electromagnetic diffraction in optical systems, II. structure of the image field in an aplanatic system[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 253, 358-379(1959).

    [32] Flagello D G, Milster T, Rosenbluth A E. Theory of high-NA imaging in homogeneous thin films[J]. Journal of the Optical Society of America A, 13, 53-64(1996).

    [33] Dill F H, Neureuther A R, Tuttle J A et al. Modeling projection printing of positive photoresists[J]. IEEE Transactions on Electron Devices, 22, 456-464(1975).

    [34] Oldham W G, Nandgaonkar S N, Neureuther A R et al. A general simulator for VLSI lithography and etching processes: part I: application to projection lithography[J]. IEEE Transactions on Electron Devices, 26, 717-722(1979).

    [35] Mack C A. PROLITH: a comprehensive optical lithography model[J]. Proceedings of SPIE, 0538, 207-220(1985).

    [36] Toh K K H, Neureuther A R. Identifying and monitoring effects of lens aberrations in projection printing[J]. Proceedings of SPIE, 0772, 202-209(1987).

    [37] Guerrieri R, Tadros K H, Gamelin J et al. Massively parallel algorithms for scattering in optical lithography[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 10, 1091-1100(1991).

    [38] Stirniman J P, Rieger M L. Fast proximity correction with zone sampling[J]. Proceedings of SPIE, 2197, 294-301(1994).

    [39] Cobb N B, Zakhor A, Miloslavsky E A. Mathematical and CAD framework for proximity correction[J]. Proceedings of SPIE, 2726, 208-222(1996).

    [40] Ronse K. Optical lithography-a historical perspective[J]. Comptes Rendus Physique, 7, 844-857(2006).

    [41] Shi R, Cai Y C, Hong X L et al. The selection and creation of the rules in rules-based optical proximity correction[C], 50-53(2001).

    [42] Pang L Y. Inverse lithography technology: 30 years from concept to practical, full-chip reality[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 20, 030901(2021).

    [43] Liu Y, Zakhor A. Binary and phase-shifting mask design for optical lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 5, 138-152(1992).

    [44] Liu Y, Pfau A K, Zakhor A. Systematic design of phase-shifting masks with extended depth of focus and/or shifted focus plane[J]. IEEE Transactions on Semiconductor Manufacturing, 6, 4393564(1993).

    [45] Liu Y, Zakhor A, Zuniga M A. Computer-aided phase shift mask design with reduced complexity[J]. IEEE Transactions on Semiconductor Manufacturing, 9, 170-181(1996).

    [46] Cobb N B, Zakhor A. Fast sparse aerial-image calculation for OPC[J]. Proceedings of SPIE, 2621, 534-545(1995).

    [47] Cobb N B, Zakhor A. Low-complexity mask design[J]. Proceedings of SPIE, 2440, 313-327(1995).

    [48] Cobb N B, Zakhor A. Large-area phase-shift mask design[J]. Proceedings of SPIE, 2197, 348-360(1994).

    [49] Pati Y C, Kailath T. Phase-shifting masks for microlithography: automated design and mask requirements[J]. Journal of the Optical Society of America A, 11, 2438-2452(1994).

    [50] Pati Y C, Ghazanfarian A A, Pease R F. Exploiting structure in fast aerial image computation for integrated circuit patterns[J]. IEEE Transactions on Semiconductor Manufacturing, 10, 62-74(1997).

    [51] Lucas K D, Word J C, Vandenberghe G et al. Model-based OPC for first-generation 193-nm lithography[J]. Proceedings of SPIE, 4346, 119-130(2001).

    [52] Poonawala A, Milanfar P. Mask design for optical microlithography: an inverse imaging problem[J]. IEEE Transactions on Image Processing, 16, 774-788(2007).

    [53] Ma X, Arce G R. Binary mask optimization for inverse lithography with partially coherent illumination[J]. Proceedings of SPIE, 7140, 309-323(2008).

    [54] Ma X, Arce G R. Binary mask optimization for forward lithography based on the boundary layer model in coherent systems[J]. Journal of the Optical Society of America A, 26, 1687-1695(2009).

    [55] Ma X, Arce G R. Pixel-based OPC optimization based on conjugate gradients[J]. Optics Express, 19, 2165-2180(2011).

    [56] Ma X, Li Y Q, Dong L S. Mask optimization approaches in optical lithography based on a vector imaging model[J]. Journal of the Optical Society of America A, 29, 1300-1312(2012).

    [57] Ma X, Song Z Y, Li Y Q et al. Block-based mask optimization for optical lithography[J]. Applied Optics, 52, 3351-3363(2013).

    [58] Ma X, Wang Z Q, Li Y Q et al. Fast optical proximity correction method based on nonlinear compressive sensing[J]. Optics Express, 26, 14479-14498(2018).

    [59] Chen J F, Liu H Y, Laidig T et al. Development of a computational lithography roadmap[J]. Proceedings of SPIE, 6924, 69241C(2008).

    [60] Cobb N B, Granik Y. Model-based OPC using the MEEF matrix[J]. Proceedings of SPIE, 4889, 1281-1292(2002).

    [61] Chen Y, Wu K, Shi Z et al. A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions[J]. Proceedings of SPIE, 6520, 65204C(2007).

    [62] Mukherjee M, Baum Z, Lavin M A. Method for adaptive segment refinement in optical proximity correction[P].

    [63] Tang Y P, Feng J H, Chih M H et al. OPC segmentation: dilemma between degree-of-freedom and stability with some relieves[J]. Proceedings of SPIE, 7274, 72742G(2009).

    [64] Cork C, Amoroso F, Poonawala A et al. Suppressing ringing effects from very strong off-axis illumination with novel OPC approaches for low k1 lithography[J]. Proceedings of SPIE, 7640, 76401C(2010).

    [65] Ping Y, Li X H, Jang S et al. Tolerance-based OPC and solution to MRC-constrained OPC[J]. Proceedings of SPIE, 7973, 79732M(2011).

    [66] Gu A, Zakhor A. Optical proximity correction with linear regression[J]. IEEE Transactions on Semiconductor Manufacturing, 21, 263-271(2008).

    [67] Gao P R, Gu A, Zakhor A. Optical proximity correction with principal component regression[J]. Proceedings of SPIE, 6924, 69243N(2008).

    [68] Kwon Y, Shin Y. Optimization of accurate resist kernels through convolutional neural network[J]. Proceedings of SPIE, 11613, 116130I(2021).

    [69] Rosenbluth A E, Bukofsky S J, Hibbs M S et al. Optimum mask and source patterns to print a given shape[J]. Proceedings of SPIE, 4346, 486-502(2001).

    [70] Coskun T H, Dai H X, Huang H T et al. Accounting for mask topography effects in source-mask optimization for advanced nodes[J]. Proceedings of SPIE, 7973, 79730P(2011).

    [71] Mülders T, Domnenko V, Küchler B et al. Source-mask optimization incorporating a physical resist model and manufacturability constraints[J]. Proceedings of SPIE, 8326, 83260G(2012).

    [72] Flagello D, Matsui R, Yano K et al. The development of a fast physical photoresist model for OPE and SMO applications from an optical engineering perspective[J]. Proceedings of SPIE, 8326, 83260R(2012).

    [73] Ma X, Li Y Q, Guo X J et al. Robust resolution enhancement optimization methods to process variations based on vector imaging model[J]. Proceedings of SPIE, 8326, 83262A(2012).

    [74] Xiao G M, Cecil T, Pang L Y et al. Source optimization and mask design to minimize MEEF in low k1 lithography[J]. Proceedings of SPIE, 7028, 70280T(2008).

    [75] Jia N N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Optics Express, 19, 19384-19398(2011).

    [76] Peng Y, Zhang J Y, Wang Y et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 20, 2856-2864(2011).

    [77] Shen Y J, Peng F, Huang X Y et al. Adaptive gradient-based source and mask co-optimization with process awareness[J]. Chinese Optics Letters, 17, 121102(2019).

    [78] Fühner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[J]. Proceedings of SPIE, 5754, 415-426(2005).

    [79] Kuo H F, Wu W C, Li F. Pixel-based ant colony algorithm for source mask optimization[J]. Proceedings of SPIE, 9426, 94260M(2015).

    [80] Hsu S, Chen L Q, Li Z P et al. An innovative source-mask co-optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 8066, 806657(2008).

    [81] Ma X, Han C Y, Li Y Q et al. Pixelated source and mask optimization for immersion lithography[J]. Journal of the Optical Society of America A, 30, 112-123(2013).

    [82] Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO)[J]. Proceedings of SPIE, 5853, 180-193(2005).

    [83] Mülders T, Domnenko V, Küchler B et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 7823, 78233X(2010).

    [84] Wu X F, Liu S Y, Li J et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 22, 3924-3937(2014).

    [85] Lai K, Rosenbluth A E, Bagheri S et al. Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22 nm logic lithography process[J]. Proceedings of SPIE, 7274, 72740A(2009).

    [86] Bekaert J, Laenens B, Verhaegen S et al. Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells[J]. Proceedings of SPIE, 7640, 764008(2010).

    [87] Mizuno Y, Matsuyama T, Owa S et al. Illumination optics for source-mask optimization[J]. Proceedings of SPIE, 7640, 76401I(2010).

    [88] Torunoglu I, Elsen E, Karakas A. A GPU-based full-chip source-mask optimization solution[J]. Proceedings of SPIE, 7640, 76401L(2010).

    [89] Li J, Shen Y J, Lam E Y. Hotspot-aware fast source and mask optimization[J]. Optics Express, 20, 21792-21804(2012).

    [90] Fühner T, Erdmann A, Evanschitzky P. Simulation-based EUV source and mask optimization[J]. Proceedings of SPIE, 7122, 71221Y(2008).

    [91] Liu X F, Howell R, Hsu S et al. EUV source-mask optimization for 7 nm node and beyond[J]. Proceedings of SPIE, 9048, 90480Q(2014).

    [92] Ma X, Wang Z Q, Chen X B et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 5, 120-135(2019).

    [93] Saleh B E A, Sayegh S I. Reduction of errors of microphotographic reproductions by optimal corrections of original masks[J]. Optical Engineering, 20, 205781(1981).

    [94] Nashold K M, Saleh B E A. Image construction through diffraction-limited high-contrast imaging systems: an iterative approach[J]. Journal of the Optical Society of America A, 2, 635-643(1985).

    [95] Liu Y, Zakhor A. Optimal binary image design for optical lithography[J]. Proceedings of SPIE, 1264, 401-412(1990).

    [96] Lin B J. Immersion lithography and its impact on semiconductor manufacturing[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 3, 46-67(2004).

    [97] Pang L Y, Shamma N, Rissman P et al. Laser and e-beam mask-to-silicon with inverse lithography technology[J]. Proceedings of SPIE, 5992, 599221(2005).

    [98] Abrams D S, Pang L Y. Fast inverse lithography technology[J]. Proceedings of SPIE, 6154, 61541J(2006).

    [99] Lin B, Shieh M F, Sun J W et al. Inverse lithography technology at chip scale[J]. Proceedings of SPIE, 6154, 615414(2006).

    [100] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 5, 043002(2006).

    [101] Borodovsky Y, Cheng W H, Schenker R et al. Pixelated phase mask as novel lithography RET[J]. Proceedings of SPIE, 6924, 69240E(2008).

    [102] Singh V, Hu B, Toh K et al. Making a trillion pixels dance[J]. Proceedings of SPIE, 6924, 69240S(2008).

    [103] Cheng W H, Farnsworth J, Kwok W et al. Fabrication of defect-free full-field pixelated phase mask[J]. Proceedings of SPIE, 6924, 69241G(2008).

    [104] Schenker R, Bollepalli S, Hu B et al. Integration of pixelated phase masks for full-chip random logic layers[J]. Proceedings of SPIE, 6924, 69240I(2008).

    [105] Torunoglu I, Karakas A, Elsen E et al. A GPU-based full-chip inverse lithography solution for random patterns[J]. Proceedings of SPIE, 7641, 764115(2010).

    [106] Yang Y W, Shi Z, Shen S H et al. Hot-spots aware inverse lithography technology[J]. ECS Transactions, 18, 367-372(2009).

    [107] Lü W, Xia Q, Liu S Y. Mask-filtering-based inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 12, 043003(2013).

    [108] Lü W, Liu S Y, Xia Q et al. Level-set-based inverse lithography for mask synthesis using the conjugate gradient and an optimal time step[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 31, 041605(2013).

    [109] Lü W, Lam E Y, Wei H Q et al. Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 023003(2014).

    [110] Ma X, Zhao Q L, Zhang H et al. Model-driven convolution neural network for inverse lithography[J]. Optics Express, 26, 32565-32584(2018).

    [111] Ma X, Zheng X Q, Arce G R. Fast inverse lithography based on dual-channel model-driven deep learning[J]. Optics Express, 28, 20404-20421(2020).

    [112] Shen Y J, Zhou Y Z, Zhang Z R. Fast implicit active contour model for inverse lithography[J]. Optics Express, 29, 10036-10047(2021).

    [113] Pang L Y, Dai G, Cecil T et al. Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes[J]. Proceedings of SPIE, 6924, 69240T(2008).

    [114] Braam K, Selinidis K, Hoppe W et al. EUV mask synthesis with rigorous ILT for process window improvement[J]. Proceedings of SPIE, 10962, 109620P(2019).

    [115] Tritchkov A, Kobelkov S, Rodin S et al. Use of ILT-based mask optimization for local printability enhancement[J]. Proceedings of SPIE, 9256, 92560X(2014).

    [116] Wang S B, Su J, Zhang Q et al. Machine learning assisted SRAF placement for full chip[J]. Proceedings of SPIE, 10451, 104510D(2017).

    [117] Hooker K, Kuechler B, Kazarian A et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 10446, 1044604(2017).

    [118] Pang L Y, Russell E V, Baggenstoss B et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day[J]. Proceedings of SPIE, 11148, 111480U(2019).

    [119] Naoya H. Computational lithography requirements & challenges for mask making[EB/OL]. https://www.ebeam.org/docs/lv12_hyashi_dnp_distribution.pdf

    [120] Pang L, Russell E V, Baggenstoss B et al. Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications[J]. Proceedings of SPIE, 11518, 115180W(2020).

    [121] Liu P. Mask synthesis using machine learning software and hardware platforms[J]. Proceedings of SPIE, 11327, 1132707(2020).

    Guodong Chen, Zinan Zhang, Sikun Li, Xiangzhao Wang. Study on Deep Ultraviolet Computational Lithography Techniques[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922007
    Download Citation