• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922025 (2022)
Xiuguo Chen*, Cai Wang, Tianjuan Yang, Jiamin Liu, Chengfeng Luo, and Shiyuan Liu**
Author Affiliations
  • State Key Laboratory of Digital Manufacturing and Equipment, Huazhong University of Science and Technology, Wuhan 430074, Hubei , China
  • show less
    DOI: 10.3788/LOP202259.0922025 Cite this Article Set citation alerts
    Xiuguo Chen, Cai Wang, Tianjuan Yang, Jiamin Liu, Chengfeng Luo, Shiyuan Liu. Inline Optical Measurement and Inspection for IC Manufacturing: State-of-the-Art, Challenges, and Perspectives[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922025 Copy Citation Text show less
    References

    [1] Quirk M, Serda J[M]. Semiconductor manufacturing technology(2000).

    [2] Diebold A C[M]. Handbook of silicon semiconductor metrology(2001).

    [3] Ma Z, Seiler D G[M]. Metrology and diagnostic techniques for nanoelectronics(2017).

    [4] Losurdo M, Bergmair M, Bruno G et al. Spectroscopic ellipsometry and polarimetry for materials and systems analysis at the nanometer scale: state-of-the-art, potential, and perspectives[J]. Journal of Nanoparticle Research, 11, 1521-1554(2009).

    [5] Chen X G, Liu S Y. Optical scatterometry for nanostructure metrology[M]. Gao W. Precision manufacturing, 477-513(2019).

    [6] Shimizu Y, Chen L C, Kim D W et al. An insight on optical metrology in manufacturing[J]. Measurement Science and Technology, 32, 042003(2020).

    [7] McNeil J R. Scatterometry applied to microelectronics processing[C], II37-II38(2000).

    [8] Naqvi S S H, McNeil J R, Krukar R H et al. Scatterometry and the simulation of diffraction-based metrology[J]. Microlithography World, 2, 5-16(1993).

    [9] Gross H, Rathsfeld A, Scholze F et al. Profile reconstruction in extreme ultraviolet (EUV) scatterometry: modeling and uncertainty estimates[J]. Measurement Science and Technology, 20, 105102(2009).

    [10] Henn M A, Heidenreich S, Gross H et al. Improved grating reconstruction by determination of line roughness in extreme ultraviolet scatterometry[J]. Optics Letters, 37, 5229-5231(2012).

    [11] Ku Y S, Yeh C L, Chen Y C et al. EUV scatterometer with a high-harmonic-generation EUV source[J]. Optics Express, 24, 28014-28025(2016).

    [12] Ansuinelli P, Coene W M J, Urbach H P. Automatic feature selection in EUV scatterometry[J]. Applied Optics, 58, 5916-5923(2019).

    [13] Jones R L, Hu T J, Lin E K et al. Small angle X-ray scattering for sub-100 nm pattern characterization[J]. Applied Physics Letters, 83, 4059-4061(2003).

    [14] Wang C Q, Jones R L, Lin E K et al. Characterization of correlated line edge roughness of nanoscale line gratings using small angle X-ray scattering[J]. Journal of Applied Physics, 102, 024901(2007).

    [15] Sunday D F, List S, Chawla J S et al. Determining the shape and periodicity of nanostructures using small-angle X-ray scattering[J]. Journal of Applied Crystallography, 48, 1355-1363(2015).

    [16] Sunday D F, Kline R J. Methodology for evaluating the information distribution in small angle scattering from periodic nanostructures[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 044002(2018).

    [17] Kline R J, Sunday D F, Windover D et al. X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 014001(2017).

    [18] Pflüger M, Soltwisch V, Probst J et al. Grazing-incidence small-angle X-ray scattering (GISAXS) on small periodic targets using large beams[J]. IUCrJ, 4, 431-438(2017).

    [19] Herrero A F, Pflüger M, Probst J et al. Applicability of the Debye-Waller damping factor for the determination of the line-edge roughness of lamellar gratings[J]. Optics Express, 27, 32490-32507(2019).

    [20] Settens C M, Cordes A, Bunday B D et al. Assessment of critical dimension small-angle X-ray scattering measurement approaches for FinFET fabrication process monitoring[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 041408(2014).

    [21] Suh H S, Chen X X, Rincon-Delgadillo P A et al. Characterization of the shape and line-edge roughness of polymer gratings with grazing incidence small-angle X-ray scattering and atomic force microscopy[J]. Journal of Applied Crystallography, 49, 823-834(2016).

    [22] Freychet G, Kumar D, Pandolfi R J et al. Estimation of line cross sections using critical-dimension grazing-incidence small-angle X-ray scattering[J]. Physical Review Applied, 12, 044026(2019).

    [23] Azzam R M A, Bashara N M[M]. Ellipsometry and polarized light(1977).

    [24] Fujiwara H[M]. Spectroscopic ellipsometry: principles and applications(2007).

    [25] Niu X H, Jakatdar N, Bao J W et al. Specular spectroscopic scatterometry[J]. IEEE Transactions on Semiconductor Manufacturing, 14, 97-111(2001).

    [26] Huang H T, Kong W, Terry F L,. Normal-incidence spectroscopic ellipsometry for critical dimension monitoring[J]. Applied Physics Letters, 78, 3983-3985(2001).

    [27] Novikova T, de Martino A, Hatit S B et al. Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics[J]. Applied Optics, 45, 3688-3697(2006).

    [28] Novikova T, de Martino A, Bulkin P et al. Metrology of replicated diffractive optics with Mueller polarimetry in conical diffraction[J]. Optics Express, 15, 2033-2046(2007).

    [29] Kim Y N, Paek J S, Rabello S et al. Device based in-chip critical dimension and overlay metrology[J]. Optics Express, 17, 21336-21343(2009).

    [30] Chen X G, Liu S Y, Zhang C W et al. Accurate characterization of nanoimprinted resist patterns using Mueller matrix ellipsometry[J]. Optics Express, 22, 15165-15177(2014).

    [31] Liu S Y, Chen X G, Zhang C W. Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology[J]. Thin Solid Films, 584, 176-185(2015).

    [32] Diebold A C, Antonelli A, Keller N. Perspective: optical measurement of feature dimensions and shapes by scatterometry[J]. APL Materials, 6, 058201(2018).

    [33] Korde M, Kal S, Alix C et al. Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry[J]. Journal of Vacuum Science & Technology B, 38, 024007(2020).

    [34] Bao G, Chen Z, Wu H. Adaptive finite-element method for diffraction gratings[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 22, 1106-1114(2005).

    [35] Jan P, Frank S. Accelerated a posteriori error estimation for the reduced basis method with application to 3D electromagnetic scattering problems[J]. SIAM Journal on Scientific Computing, 32, 498-520(2010).

    [36] Nakata Y, Koshiba M. Boundary-element analysis of plane-wave diffraction from groove-type dielectric and metallic gratings[J]. Journal of the Optical Society of America A, 7, 1494-1502(1990).

    [37] Shi Y T, Chen X G, Tan Y Y et al. Reduced-basis boundary element method for fast electromagnetic field computation[J]. Journal of the Optical Society of America A, 34, 2231-2242(2017).

    [38] Taflove A, Hagness S[M]. Computational electrodynamics: the finite-difference time-domain method(2000).

    [39] Moharam M G, Gaylord T K, Grann E B et al. Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings[J]. Journal of the Optical Society of America A, 12, 1068-1076(1995).

    [40] Moharam M G, Gaylord T K, Pommet D A et al. Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach[J]. Journal of the Optical Society of America A, 12, 1077-1086(1995).

    [41] Li L F. Use of Fourier series in the analysis of discontinuous periodic structures[J]. Journal of the Optical Society of America A, 13, 1870-1876(1996).

    [42] Li L F. Formulation and comparison of two recursive matrix algorithms for modeling layered diffraction gratings[J]. Journal of the Optical Society of America A, 13, 1024-1035(1996).

    [43] Tan E L. Enhanced R-matrix algorithms for multilayered diffraction gratings[J]. Applied Optics, 45, 4803-4809(2006).

    [44] Tan E L. Note on formulation of the enhanced scattering-(transmittance-) matrix approach[J]. Journal of the Optical Society of America A, 19, 1157-1161(2002).

    [45] Chen X G. Theory and method of nanostructure metrology using generalized ellipsometry[D](2013).

    [46] Kirsch A. Uniqueness theorems in inverse scattering theory for periodic structures[J]. Inverse Problems, 10, 145-152(1994).

    [47] Gang B. A uniqueness theorem for an inverse problem in periodic diffractive optics[J]. Inverse Problems, 10, 335-340(1994).

    [48] Colton D, Kress R[M]. Inverse acoustic and electromagnetic scattering theory(2013).

    [49] Chen X G, Liu S Y, Zhang C W et al. Improved measurement accuracy in optical scatterometry using fitting error interpolation based library search[J]. Measurement, 46, 2638-2646(2013).

    [50] Chen X G, Liu S Y, Zhang C W et al. Improved measurement accuracy in optical scatterometry using correction-based library search[J]. Applied Optics, 52, 6726-6734(2013).

    [51] Press W H, Teukolsky S A, Vetterling W T et al[M]. Numerical recipes: the art of scientific computing(2007).

    [53] Rigolli P L, Rozzoni L, Turco C et al. AIM technology for nonvolatile memories microelectronics devices[J]. Proceedings of SPIE, 6152, 61524C(2006).

    [54] Ausschnitt C P, Chu W, Kolor D et al. Blossom overlay metrology implementation[J]. Proceedings of SPIE, 6518, 65180G(2007).

    [55] Minghetti B, Brunner T, Robinson C et al. Overlay characterization and matching of immersion photoclusters[J]. Proceedings of SPIE, 7640, 76400W(2010).

    [56] den Boef A J. Optical wafer metrology sensors for process-robust CD and overlay control in semiconductor device manufacturing[J]. Surface Topography: Metrology and Properties, 4, 023001(2016).

    [57] Gao H Y, Chung W J, Aung N et al. Comparison study of diffraction based overlay and image based overlay measurements on programmed overlay errors[J]. Proceedings of SPIE, 9778, 97782Q(2016).

    [58] Li J, Liu Z, Rabello S et al. Simultaneous overlay and CD measurement for double patterning: scatterometry and RCWA approach[J]. Proceedings of SPIE, 7272, 727207(2009).

    [59] Bhattacharyya K, Wright N, van der Schaar M et al. New approaches for scatterometry-based metrology for critical distance and overlay measurement and process control[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 013013(2011).

    [60] Ebert M, Vanoppen P, Jak M et al. New approaches in diffraction based optical metrology[J]. Proceedings of SPIE, 9778, 97782N(2016).

    [61] Li J, Hwu J J, Liu Y D et al. Mueller matrix measurement of asymmetric gratings[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 9, 041305(2010).

    [62] Novikova T, Bulkin P, Popov V et al. Mueller polarimetry as a tool for detecting asymmetry in diffraction grating profiles[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 29, 051804(2011).

    [63] Chen X G, Zhang C W, Liu S Y et al. Mueller matrix ellipsometric detection of profile asymmetry in nanoimprinted grating structures[J]. Journal of Applied Physics, 116, 194305(2014).

    [64] Chen X G, Gu H G, Jiang H et al. Robust overlay metrology with differential Mueller matrix calculus[J]. Optics Express, 25, 8491-8510(2017).

    [65] Servin M, Rodriguez-Vera R, Moore A J. A robust cellular processor for phase unwrapping[J]. Journal of Modern Optics, 41, 119-127(1994).

    [66] Baldi A, Bertolino F, Ginesu F. On the performance of some unwrapping algorithms[J]. Optics and Lasers in Engineering, 37, 313-330(2002).

    [67] Ma L. Study on white light scanning interferometry: measurement method and system[D](2011).

    [68] Thomas C E,, Bahm T M, Baylor L R et al. Direct to digital holography for semiconductor wafer defect detection and review[J]. Proceedings of SPIE, 4692, 180-194(2002).

    [69] Neauport J, Cormont P, Legros P et al. Imaging subsurface damage of grinded fused silica optics by confocal fluorescence microscopy[J]. Optics Express, 17, 3543-3554(2009).

    [70] Wei T D. Key technologies research in confocal laser scanning microscopy[D](2014).

    [71] Tiziani H J, Wegner M, Steudle D. Confocal principle for macro- and microscopic surface and defect analysis[J]. Optical Engineering, 39, 32-39(2000).

    [72] Xiang Y C, Lin Y X, Ren Z Y. Study on surface defect detection method of optical element[J]. Optical Instruments, 40, 78-87(2018).

    [73] Liu H X, Zhou W, Kuang Q W et al. Defect detection of IC wafer based on spectral subtraction[J]. IEEE Transactions on Semiconductor Manufacturing, 23, 141-147(2010).

    [74] Nolot E, Arrazat B, Favier S et al. Laser scattering: a fast, sensitive, in-line technique for advanced process development and monitoring[C], 931, 116-120(2007).

    [75] Huang C Y, Chu R, Neskovic G. Rough film wafer sensitivity improvement using light scattering inspection system[C], 191-193(2013).

    [76] Holsteyns F, Cheung L, van den Heuvel D et al. The use of unpatterned wafer inspection for immersion lithography defectivity studies[J]. Proceedings of SPIE, 6152, 61521U(2006).

    [77] Ai L F. The detection method of substrate surface particles based on dark field microscopy of scattered light[D](2019).

    [78] van der Walle P, Hannemann S, van Eijk D et al. Implementation of background scattering variance reduction on the rapid nano particle scanner[J]. Proceedings of SPIE, 9050, 905033(2014).

    [79] van der Walle P, Kumar P, Ityaksov D et al. Increased particle inspection sensitivity by reduction of background scatter variance[J]. Proceedings of SPIE, 8681, 868116(2013).

    [80] Liu S, Zhao G H. Methods and apparatus for polarized wafer inspection[P].

    [81] Stokowski S, Vaez-Iravani M. Wafer inspection technology challenges for ULSI manufacturing[C], 449, 405-415(1998).

    [82] Roy S, Bouwens M, Wei L et al. High speed low power optical detection of sub-wavelength scatterer[J]. Review of Scientific Instruments, 86, 123111(2015).

    [83] Becky Pinto, Pinto B, 加藤昌彦, Kato M. Addressing the challenge of 45 nm defects[J]. Application of IC, 43-47(2008).

         应对45 nm缺陷挑战[J]. 集成电路应用, 43-47(2008).

    [84] Zhou R J, Edwards C, Arbabi A et al. Detecting 20 nm wide defects in large area nanopatterns using optical interferometric microscopy[J]. Nano Letters, 13, 3716-3721(2013).

    [85] Nativ A, Feldman H, Shaked N T. Wafer defect detection by a polarization-insensitive external differential interference contrast module[J]. Applied Optics, 57, 3534-3538(2018).

    [86] Barnes B M, Sohn M Y, Goasmat F et al. Three-dimensional deep sub-wavelength defect detection using λ=193 nm optical microscopy[J]. Optics Express, 21, 26219-26226(2013).

    [87] Attota R, Kramar J. Optimizing noise for defect analysis with through-focus scanning optical microscopy[J]. Proceedings of SPIE, 9778, 977811(2016).

    [88] Zhu J L, Liu Y N, Yu X et al. Sensing sub-10 nm wide perturbations in background nanopatterns using optical pseudoelectrodynamics microscopy (OPEM)[J]. Nano Letters, 19, 5347-5355(2019).

    [89] Buengener R. Defect inspection strategies for 14 nm semiconductor technology[J]. Proceedings of SPIE, 8466, 846607(2012).

    [90] Lange S R. Multi-spectral defect inspection for[P]. D wafers.

    [91] Tolle I, Daino M. Advanced defect inspection techniques for NFET and PFET defectivity at 7 nm gate poly removal process[C], 17823149(2018).

    [92] Yang J C, Penigalapati D, Chao T F et al. Challenges in chemical mechanical planarization defects of 7 nm device and its improvement opportunities[C], 16866341(2017).

    [93] Barnes B M, Zhou H, Henn M A et al. Optimizing image-based patterned defect inspection through FDTD simulations at multiple ultraviolet wavelengths[J]. Proceedings of SPIE, 10330, 103300W(2017).

    [94] Fujii T, Konno Y, Okada N et al. Development of optical simulation tool for defect inspection[J]. Proceedings of SPIE, 7272, 72721A(2009).

    [95] Silver R M, Barnes B M, Sohn Y et al. The limits and extensibility of optical patterned defect inspection[J]. Proceedings of SPIE, 7638, 76380J(2010).

    [96] Barnes B M, Quinthanilha R, Sohn Y J et al. Optical illumination optimization for patterned defect inspection[J]. Proceedings of SPIE, 7971, 79710D(2011).

    [97] Holfeld C, Katzwinkel F, Seifert U et al. Wafer inspection as alternative approach to mask defect qualification[J]. Proceedings of SPIE, 6730, 673023(2007).

    [98] Tsuji Y, Kikuiri N, Murakami S et al. Development of next-generation mask inspection method by using the feature of mask image captured with 199-nm inspection optics[J]. Proceedings of SPIE, 6349, 63493M(2006).

    [99] Kikuiri N, Murakami S, Tsuchiya H et al. Development of advanced reticle inspection apparatus for hp 65 nm node device and beyond[J]. Proceedings of SPIE, 6283, 62830Y(2006).

    [100] Hirano R, Ogawa R, Suzuki H et al. Development of advanced mask inspection optics with transmitted and reflected light image acquisition[J]. Proceedings of SPIE, 6518, 65181U(2007).

    [101] Tojo T, Hirano R, Tsuchiya H et al. Advanced mask inspection optical system (AMOS) using 198.5 nm wavelength for 65-nm (hp) node and beyond: system development and initial state D/D inspection performance[J]. Proceedings of SPIE, 5567, 1011-1023(2004).

    [102] Hirano R, Hirono M, Ogawa R et al. Study of advanced mask inspection optics with super-resolution method for next-generation mask fabrication[J]. Proceedings of SPIE, 7272, 72722A(2009).

    [103] Dayal A, Sier J P, Sousa W et al. Optimized inspection of advanced reticles on the TeraScan reticle inspection tool[J]. Proceedings of SPIE, 5992, 599245(2005).

    [104] Mu B, Dayal A, Goonesekera A et al. TeraScanXR: a high sensitivity and throughput photomask inspection system[J]. Proceedings of SPIE, 7379, 73792B(2009).

    [105] Broadbent W H, Wiley J N, Saidin Z K et al. Results from a new die-to-database reticle inspection platform[J]. Proceedings of SPIE, 5446, 265-278(2004).

    [106] Yu C F, Lin M C, Lai M T et al. Inspection of advanced computational lithography logic reticles using a 193-nm inspection system[J]. Proceedings of SPIE, 7823, 78232F(2010).

    [107] Mamezaki D, Harada T, Nagata Y et al. Imaging performance improvement of coherent extreme-ultraviolet scatterometry microscope with high-harmonic-generation extreme-ultraviolet source[J]. Japanese Journal of Applied Physics, 56, 06GB01(2017).

    [108] Nagata Y, Harada T, Watanabe T et al. At wavelength coherent scatterometry microscope using high-order harmonics for EUV mask inspection[J]. International Journal of Extreme Manufacturing, 1, 032001(2019).

    [109] Helfenstein P, Mohacsi I, Rajendran R et al. Scanning coherent diffractive imaging methods for actinic extreme ultraviolet mask metrology[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 034006(2016).

    [110] Mochi I, Helfenstein P, Mohacsi I et al. RESCAN: an actinic lensless microscope for defect inspection of EUV reticles[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 041003(2017).

    [111] Miyai H, Kohyama T, Suzuki T et al. Actinic patterned mask defect inspection for EUV lithography[J]. Proceedings of SPIE, 11148, 111480W(2019).

    [112] Bunday B D, Orji N G, Allgair J A. High volume manufacturing metrology needs at and beyond the 5 nm node[J]. Proceedings of SPIE, 11611, 116110F(2021).

    [113] Bunday B D, Bello A F, Solecky E et al. 7/5 nm logic manufacturing capabilities and requirements of metrology[J]. Proceedings of SPIE, 10585, 105850I(2018).

    [114] Orji N G, Badaroglu M, Barnes B M et al. Metrology for the next generation of semiconductor devices[J]. Nature Electronics, 1, 532-547(2018).

    [115] Song B K, Gu H G, Fang M S et al. Layer-dependent dielectric function of wafer-scale 2D MoS2[J]. Advanced Optical Materials, 7, 1801250(2019).

    [116] Gu H G, Song B K, Fang M S et al. Layer-dependent dielectric and optical properties of centimeter-scale 2D WSe2: evolution from a single layer to few layers[J]. Nanoscale, 11, 22762-22771(2019).

    [117] Vaid A, Yan B B, Jiang Y T et al. A holistic metrology approach: hybrid metrology utilizing scatterometry, critical dimension-atomic force microscope and critical dimension-scanning electron microscope[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 043016(2011).

    [118] Vaid A, Elia A, Kelling M et al. Hybrid metorlogy solution for 1X node technology[J]. Proceedings of SPIE, 8324, 832404(2012).

    [119] Orji N G, Dixson R G, Vladár A E et al. Contour metrology using critical dimension atomic force microscopy[J]. Proceedings of SPIE, 8324, 83240U(2012).

    [120] Celano U, Favia P, Drijbooms C et al. Individual device analysis using hybrid TEM-scalpel SSRM metrology[C], 122-126(2017).

    [121] Zhang N F, Silver R M, Zhou H et al. Improving optical measurement uncertainty with combined multitool metrology using a Bayesian approach[J]. Applied Optics, 51, 6196-6206(2012).

    [122] Zhang N F, Barnes B M, Zhou H et al. Combining model-based measurement results of critical dimensions from multiple tools[J]. Measurement Science and Technology, 28, 065002(2017).

    [123] Silver R, Germer T, Attota R et al. Fundamental limits of optical critical dimension metrology: a simulation study[J]. Proceedings of SPIE, 6518, 65180U(2007).

    [124] Pauw B R. Everything SAXS: small-angle scattering pattern collection and correction[J]. Journal of Physics: Condensed Matter, 25, 383201(2013).

    [125] Zhu Y P[M]. Small angle X-ray scattering: theory, measurement, calculation and application(2009).

    [126] Bech M, Bunk O, David C et al. Hard X-ray phase-contrast imaging with the compact light source based on inverse Compton X-rays[J]. Journal of Synchrotron Radiation, 16, 43-47(2009).

    [127] Graves W S, Brown W, Kaertner F X et al. MIT inverse Compton source concept[J]. Nuclear Instruments and Methods in Physics Research A, 608, S103-S105(2009).

    [128] Powers N D, Ghebregziabher I, Golovin G et al. Quasi-monoenergetic and tunable X-rays from a laser-driven Compton light source[J]. Nature Photonics, 8, 28-31(2014).

    [129] Sakdinawat A, Attwood D. Nanoscale X-ray imaging[J]. Nature Photonics, 4, 840-848(2010).

    [130] Zhang F C, Xu W H, He Z F et al. Progress in coherent diffraction imaging: ptychography and coherent modulation imaging[J]. Infrared and Laser Engineering, 48, 0603011(2019).

    [131] Shapiro D A, Yu Y S, Tyliszczak T et al. Chemical composition mapping with nanometre resolution by soft X-ray microscopy[J]. Nature Photonics, 8, 765-769(2014).

    [132] Miao J, Ishikawa T, Robinson I K et al. Beyond crystallography: diffractive imaging using coherent X-ray light sources[J]. Science, 348, 530-535(2015).

    [133] Shi X W, Burdet N, Chen B et al. X-ray ptychography on low-dimensional hard-condensed matter materials[J]. Applied Physics Reviews, 6, 011306(2019).

    [134] Deng J J, Hong Y P, Chen S et al. Nanoscale X-ray imaging of circuit features without wafer etching[J]. Physical Review B, 95, 104111(2017).

    [135] Holler M, Guizar-Sicairos M, Tsai E H et al. High-resolution non-destructive three-dimensional imaging of integrated circuits[J]. Nature, 543, 402-406(2017).

    [136] Holler M, Odstrcil M, Guizar-Sicairos M et al. Three-dimensional imaging of integrated circuits with macro-to nanoscale zoom[J]. Nature Electronics, 2, 464-470(2019).

    [137] Benk M P, Goldberg K A, Wojdyla A et al. Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope[J]. Journal of Vacuum Science & Technology B, 33, 06FE01(2015).

    [138] Ansuinelli P, Coene W M J, Urbach H P. Improved ptychographic inspection of EUV reticles via inclusion of prior information[J]. Applied Optics, 59, 5937-5947(2020).

    [139] Montgomery P C, Leong-Hoi A, Anstotz F et al. From superresolution to nanodetection: overview of far field optical nanoscopy techniques for nanostructures[J]. Journal of Physics: Conference Series, 682, 012010(2016).

    [140] Hell S W, Sahl S J, Bates M et al. The 2015 super-resolution microscopy roadmap[J]. Journal of Physics D: Applied Physics, 48, 443001(2015).

    [141] Rogers E T F, Lindberg J, Roy T et al. A super-oscillatory lens optical microscope for subwavelength imaging[J]. Nature Materials, 11, 432-435(2012).

    [142] Khorasaninejad M, Chen W T, Devlin R C et al. Metalenses at visible wavelengths: diffraction-limited focusing and subwavelength resolution imaging[J]. Science, 352, 1190-1194(2016).

    [143] Sze V, Chen Y H, Yang T J et al. Efficient processing of deep neural networks: a tutorial and survey[J]. Proceedings of the IEEE, 105, 2295-2329(2017).

    [144] Rana N, Zhang Y L, Kagalwala T et al. Leveraging advanced data analytics, machine learning, and metrology models to enable critical dimension metrology solutions for advanced integrated circuit nodes[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 041415(2014).

    [145] Kim I, Bae Y, Gwak S et al. Machine learning aided profile measurement in high-aspect-ratio nanostructures[J]. Proceedings of SPIE, 11783, 117830K(2021).

    [146] Wong F J, Hao Y D, Ming W M et al. Methods to overcome limited labeled data sets in machine learning-based optical critical dimension metrology[J]. Proceedings of SPIE, 11611, 116111P(2021).

    [147] Purandare S, Zhu J L, Zhou R J et al. Optical inspection of nanoscale structures using a novel machine learning based synthetic image generation algorithm[J]. Optics Express, 27, 17743-17762(2019).

    [148] Henn M A, Zhou H, Barnes B M. Data-driven approaches to optical patterned defect detection[J]. OSA Continuum, 2, 2683-2693(2019).

    [149] Imoto K, Nakai T, Ike T et al. A CNN-based transfer learning method for defect classification in semiconductor manufacturing[J]. IEEE Transactions on Semiconductor Manufacturing, 455-459(2018).

    Xiuguo Chen, Cai Wang, Tianjuan Yang, Jiamin Liu, Chengfeng Luo, Shiyuan Liu. Inline Optical Measurement and Inspection for IC Manufacturing: State-of-the-Art, Challenges, and Perspectives[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922025
    Download Citation