• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922004 (2022)
Xudong Guo1、3、†, Guoqiang Yang1、3、†,*, and Yi Li2、3
Author Affiliations
  • 1Key Laboratory of Photochemistry, Chinese Academy of Sciences, Beijing National Laboratory for Molecular Sciences, Beijing 100190, China
  • 2Key Laboratory of Photochemical Conversion and Optoelectronic Materials, Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190, China
  • 3University of Chinese Academy of Sciences, Beijing 100039, China
  • show less
    DOI: 10.3788/LOP202259.0922004 Cite this Article Set citation alerts
    Xudong Guo, Guoqiang Yang, Yi Li. Development of Extreme Ultraviolet Photoresists[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922004 Copy Citation Text show less
    References

    [1] Wei Y Y[M]. Advanced lithography theory and application for very-large-scale integration(2016).

    [2] Wu Q[M]. Photolithography process near the diffraction limit(2020).

    [3] TSMC. TSMC and OIP ecosystem partners deliver industry’s first complete design infrastructure for 5 nm process technology[EB/OL]. https://pr.tsmc.com/schinese/news/1987

    [4] Mack C. Appendix A: glossary of microlithographic terms[M]. Fundamental principles of optical lithography, 457-490(2007).

    [5] Patsis G P, Gogolides E. Material and process effects on line-edge-roughness of photoresists probed with a fast stochastic lithography simulator[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 23, 1371-1375(2005).

    [6] Brainard R L, Barclay G G, Anderson E H et al. Resists for next generation lithography[J]. Microelectronic Engineering, 61/62, 707-715(2002).

    [7] Hassanein E, Higgins C, Naulleau P et al. Film quantum yields of EUV and ultra-high PAG photoresists[J]. Proceedings of SPIE, 6921, 69211I(2008).

    [8] Brainard R L, Trefonas P, Lammers J H et al. Shot noise, LER, and quantum efficiency of EUV photoresists[J]. Proceedings of SPIE, 5374, 74-85(2004).

    [9] Fallica R, Stowers J K, Grenville A et al. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 033506(2016).

    [10] Matsuzawa N N, Oizumi H, Mori S et al. Theoretical calculations of photoabsorption of various polymers in an extreme ultraviolet region[J]. Japanese Journal of Applied Physics, 38, 7109-7113(1999).

    [11] Matsuzawa N N, Oizumi H, Mori S et al. Theoretical estimation of absorption coefficients of various polymers at 13 nm[J]. Microelectronic Engineering, 53, 671-674(2000).

    [12] Matsuzawa N N, Irie S, Yano E et al. Theoretical calculations of photoabsorption of polymers in the EUV (extreme ultraviolet) region[J]. Proceedings of SPIE, 4343, 278-284(2001).

    [13] Wang J W, Wang X B, Zuo D L et al. Laser-induced liquid tin discharge plasma and its EUV spectra[J]. Chinese Optics of Letters, 18, 051405(2020).

    [14] Banine V. EUV Lithography: current and future requirements and options?[C](2013).

    [15] Levinson H J, Mangat P, Wallow T et al. Considerations for high-numerical aperture EUV lithography[J]. Proceedings of SPIE, 8679, 867916(2013).

    [16] Singh L, Zhang W L. Advancements in high refractive index media: from quantum coherence in atomic systems to deep sub-wavelength coupling in metamaterials [Invited[J]. Chinese Optics Letters, 18, 062401(2020).

    [17] Chen J, Chu H C, Lai Y et al. Highly efficient achromatic subdiffraction focusing lens in the near field with large numerical aperture[J]. Photonics Research, 9, 2088-2094(2021).

    [18] Braun S, Foltyn T, van Loyen L et al. Multi component EUV multilayer mirrors[J]. Proceedings of SPIE, 5037, 274-285(2003).

    [19] de Bisschop P. Stochastic effects in EUV lithography: random, local CD variability, and printing failures[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 041013(2017).

    [20] de Bisschop P, Hendrickx E. Stochastic effects in EUV lithography[J]. Proceedings of SPIE, 10583, 105831K(2018).

    [21] Pret A V, Graves T, Blankenship D et al. Comparative stochastic process variation bands for N7, N5, and N3 at EUV[J]. Proceedings of SPIE, 10583, 105830K(2018).

    [22] Ito H, Willson C G, Frechet J M J. Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone[P].

    [23] Ito H. Chemical amplification resists for microlithography[M]. Advances in polymer science, 172, 37-245(2005).

    [24] Lawson R A, Robinson A P G. Overview of materials and processes for lithography[M]. Materials and processes for next generation lithography, 11, 1-90(2016).

    [25] Uhl A. Nonstatistical degradation and development characteristics of poly(methylmethacrylate) based resists during electron beam exposure[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 16, 2968-2673(1998).

    [26] Uhl A, Bendig J, Leistner J et al. E-beam and deep-UV exposure of PMMA-based resists: identical or different chemical behavior?[J]. Proceedings of SPIE, 3333, 1452-1457(1998).

    [27] Thompson L F, Feit E D, Bowden M J et al. Polymeric resists for X-ray lithography[J]. Journal of the Electrochemical Society, 121, 1500(1974).

    [28] Joy D C. The spatial resolution limit of electron lithography[J]. Microelectronic Engineering, 1, 103-119(1983).

    [29] Kinoshita H, Kurihara K, Ishii Y et al. Soft X-ray reduction lithography using multilayer mirrors[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 7, 1648-1651(1989).

    [30] Bjorkholm J E, Bokor J, Eichner L et al. Reduction imaging at 14 nm using multilayer-coated optics: printing of features smaller than 0.1 μm[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 8, 1509-1513(1990).

    [31] Shumway M D, Lee S H, Cho C H et al. Extremely fine-pitch printing with a 10X Schwarzschild optic at extreme-ultraviolet wavelengths[J]. Proceedings of SPIE, 4343, 357-362(2001).

    [32] Shumway M D, Naulleau P P, Goldberg K A et al. Resist evaluation at 50 nm in the EUV using interferometric spatial-frequency-doubled imaging[J]. Proceedings of SPIE, 5037, 910-916(2003).

    [33] Shumway M D, Snow E L, Goldberg K A et al. EUV resist imaging below 50 nm using coherent spatial filtering techniques[J]. Proceedings of SPIE, 5374, 454-459(2004).

    [34] Nishida T, Notomi M, Iga R et al. Quantum wire fabrication by E-beam elithography using high-resolution and high-sensitivity E-beam resist ZEP-520[J]. Japanese Journal of Applied Physics, 31, 4508-4514(1992).

    [35] Cardinear B, Kruger S, Earley W et al. Chain-scission polyesters for EUV lithography[J]. Journal of Photopolymer Science and Technology, 23, 665-671(2010).

    [36] Blakey I, Yu A G, Blinco J et al. Polycarbonate based nonchemically amplified photoresists for extreme ultraviolet lithography[J]. Proceedings of SPIE, 7636, 763635(2010).

    [37] Lawrie K, Blakey I, Blinco J et al. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: towards applications as EUV photoresists[J]. Radiation Physics and Chemistry, 80, 236-241(2011).

    [38] Lawrie K J, Blakey I, Blinco J P et al. Chain scission resists for extreme ultraviolet lithography based on high performance polysulfone-containing polymers[J]. Journal of Materials Chemistry, 21, 5629-5637(2011).

    [39] Shirai M, Kurosima A, Okamura H et al. EUV resist based on low molecular weight PHS[J]. Proceedings of SPIE, 6923, 692344(2008).

    [40] Shirai M, Maki K, Okamura H et al. Non-chemically amplified EUV resist based on PHS[J]. Journal of Photopolymer Science and Technology, 22, 111-116(2009).

    [41] Shirai M, Maki K, Okamura H et al. Negative EUV resist based on thiol-ene system[J]. Journal of Photopolymer Science and Technology, 23, 687-691(2010).

    [42] Satyanarayana V S V, Kessler F, Singh V et al. Radiation-sensitive novel polymeric resist materials: iterative synthesis and their EUV fragmentation studies[J]. ACS Applied Materials & Interfaces, 6, 4223-4232(2014).

    [43] Ito H, Breyta G, Hofer D et al. Environmentally stable chemical amplification positive resist: principle, chemistry, contamination resistance, and lithographic feasibility[J]. Journal of Photopolymer Science and Technology, 7, 433-447(1994).

    [44] Conley W, Breyta G, Brunsvold W R et al. Lithographic performance of an environmentally stable chemically amplified photoresist (ESCAP)[J]. Proceedings of SPIE, 2724, 34-60(1996).

    [45] Brainard R L, Henderson C, Cobb J et al. Comparison of the lithographic properties of positive resists upon exposure to deep- and extreme-ultraviolet radiation[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 17, 3384-3389(1999).

    [46] Rao V, Cobb J L, Henderson C C et al. Ultrathin photoresists for EUV lithography[J]. Proceedings of SPIE, 3676, 615-626(1999).

    [47] van Steenwinckel D, Lammers J H, Koehler T et al. Resist effects at small pitches[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 24, 316-320(2006).

    [48] Koehler T, Brainard R L, Naulleau P P et al. Performance of EUV photoresists on the ALS micro exposure tool[J]. Proceedings of SPIE, 5753, 754-764(2005).

    [49] Tiwale N, Subramanian A, Kisslinger K et al. Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists[J]. Journal of Materials Chemistry C, 7, 8803-8812(2019).

    [50] Aratani I, Matsunaga S, Kajiyashiki T et al. Evaluation of novel resist materials for EUV lithography[J]. Proceedings of SPIE, 7273, 72731Z(2009).

    [51] Lee K Y, Huang W S. Evaluation and application of a very high performance chemically amplified resist for electron-beam lithography[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 11, 2807-2811(1993).

    [52] Wallraff G M, Medeiros D R, Sanchez M et al. Sub-50 nm half-pitch imaging with a low activation energy chemically amplified photoresist[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 22, 3479-3484(2004).

    [53] Wallraff G M, Medeiros D R, Larson C E et al. Studies of acid diffusion in low Ea chemically amplified photoresists[J]. Proceedings of SPIE, 5753, 309-318(2005).

    [54] Naulleau P, Cain J P, Anderson E et al. Characterization of the synchrotron-based 0.3 numerical aperture extreme ultraviolet microexposure tool at the advanced light source[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 23, 2840-2843(2005).

    [55] Thiyagarajan M, Dean K, Gonsalves K E. Improved lithographic performance for EUV resists based on polymers having a photoacid generator (PAG) in the backbone[J]. Journal of Photopolymer Science and Technology, 18, 737-741(2005).

    [56] Thackeray J W, Aqad E, Kang S J et al. Design considerations for EUV resist materials[J]. Journal of Photopolymer Science and Technology, 22, 65-71(2009).

    [57] Thackeray J W, Jain V J, Coley S et al. Optimization of polymer-bound PAG (PBP) for 20 nm EUV lithography[J]. Journal of Photopolymer Science and Technology, 24, 179-183(2011).

    [58] Tamaoki H, Tarutani S, Tsubaki H et al. Characterizing polymer bound PAG-type EUV resist[J]. Proceedings of SPIE, 7972, 79720A(2011).

    [59] Tagawa S, Enomoto S, Oshima A. Super high sensitivity enhancement by photo-sensitized chemically amplified resist (PS-CAR) process[J]. Journal of Photopolymer Science and Technology, 26, 825-830(2013).

    [60] Tagawa S, Oshima A, Enomoto S et al. High-resist sensitization by pattern and flood combination lithography[J]. Proceedings of SPIE, 9048, 90481S(2014).

    [61] Nagai T, Nakagawa H, Naruoka T et al. Novel high sensitivity EUV photoresist for sub-7 nm node[J]. Proceedings of SPIE, 9779, 977908(2016).

    [62] Carcasi M, Nagahara S, Shiraishi G et al. Simulation and experimentation of PSCAR chemistry for complex structures[J]. Proceedings of SPIE, 10143, 1014329(2017).

    [63] Buitrago E, Nagahara S, Yildirim O et al. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography[J]. Proceedings of SPIE, 9776, 97760Z(2016).

    [64] Nagahara S, Carcasi M, Shiraishi G et al. Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure[J]. Proceedings of SPIE, 10146, 101460G(2017).

    [65] de Silva A, Felix N M, Ober C K. Molecular glass resists as high-resolution patterning materials[J]. Advanced Materials, 20, 3355-3361(2008).

    [66] De Silva A, Lee J K, André X et al. Study of the structure-properties relationship of phenolic molecular glass resists for next generation photolithography[J]. Chemistry of Materials, 20, 1606-1613(2008).

    [67] de Silva A, Ober C K. Hydroxyphenylbenzene derivatives as glass forming molecules for high resolution photoresists[J]. Journal of Materials Chemistry, 18, 1903-1910(2008).

    [68] Yamamoto H, Kozawa T, Tagawa S et al. Enhancement of acid production in chemically amplified resist for extreme ultraviolet lithography[J]. Applied Physics Express, 1, 047001(2008).

    [69] Yoshiiwa M, Kageyama H, Shirota Y et al. Novel class of low molecular-weight organic resists for nanometer lithography[J]. Applied Physics Letters, 69, 2605-2607(1996).

    [70] Kadota T, Kageyama H, Wakaya F et al. Novel electron-beam molecular resists with high resolution and high sensitivity for nanometer lithography[J]. Chemistry Letters, 33, 706-707(2004).

    [71] Tsuchiya K, Chang S W, Felix N M et al. Lithography based on molecular glasses[J]. Journal of Photopolymer Science and Technology, 18, 431-434(2005).

    [72] Felix N M, de Silva A, Luk C M Y et al. Dissolution phenomena of phenolic molecular glass photoresist films in supercritical CO2[J]. Journal of Materials Chemistry, 17, 4598-4604(2007).

    [73] de Silva A, Sundberg L K, Ito H et al. A fundamental study on dissolution behavior of high-resolution molecular glass photoresists[J]. Chemistry of Materials, 20, 7292-7300(2008).

    [74] de Silva A, Felix N, Sha J et al. Molecular glass resists for next generation lithography[J]. Proceedings of SPIE, 6923, 69231L(2008).

    [75] Lawson R A, Lee C T, Yueh W et al. Water-developable negative-tone single-molecule resists: high-sensitivity nonchemically amplified resists[J]. Proceedings of SPIE, 6923, 69231I(2008).

    [76] Kojima K, Hattori T, Fukuda H et al. Negative-tone polyphenol resist based on chemically amplified polarity change reaction with sub-50-nm resolution capability[J]. Proceedings of SPIE, 6153, 61530G(2006).

    [77] Narcross H, Lawson R A, Sharp B et al. Effect of molecular resist structure on glass transition temperature and lithographic performance in epoxide functionalized negative tone resists[J]. Proceedings of SPIE, 9425, 94250C(2015).

    [78] Lawson R A, Chun J S, Neisser M et al. Methods of controlling cross-linking in negative-tone resists[J]. Proceedings of SPIE, 9051, 90510Q(2014).

    [79] Sharp B, Lawson R A, Fralick A et al. Base developable negative tone molecular resist based on epoxide cross-linking[J]. Proceedings of SPIE, 9425, 94251S(2015).

    [80] Young-Gil K, Kim J B, Fujigaya T et al. A positive-working alkaline developable photoresist based on partially tert-boc-protected calix[4]resorcinarene and a photoacid generator[J]. Journal of Materials Chemistry, 12, 53-57(2002).

    [81] Solak H H, Ekinci Y, Käser P et al. Photon-beam lithography reaches 12.5 nm half-pitch resolution[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 25, 91(2007).

    [82] Bratton D, Ayothi R, Felix N et al. Molecular glass resists for next generation lithography[J]. Proceedings of SPIE, 6153, 61531D(2006).

    [83] Felix N M, de Silva A, Ober C K. Calix[4]resorcinarene derivatives as high-resolution resist materials for supercritical CO2 processing[J]. Advanced Materials, 20, 1303-1309(2008).

    [84] Chang S W, Ayothi R, Bratton D et al. Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography[J]. Journal of Materials Chemistry, 16, 1470-1474(2006).

    [85] Echigo M, Hayashi H, Oizumi H et al. Development of molecular resists based on phenyl[4]calixarene derivatives[J]. Proceedings of SPIE, 7639, 76392B(2010).

    [86] Kudo H, Hayashi R, Mitani K et al. Molecular waterwheel (noria) from a simple condensation of resorcinol and an alkanedial[J]. Angewandte Chemie International Edition, 45, 7948-7952(2006).

    [87] Nishino K, Maruyama K, Kimura T et al. Development of EUV resist for 22 nm half pitch and beyond[J]. Proceedings of SPIE, 7969, 79692I(2011).

    [88] Wang Z H, Yao X D, An H et al. Recent advances in organic-inorganic hybrid photoresists[J]. Journal of Microelectronic Manufacturing, 4, 21040101(2021).

    [89] Loboda M J, Grove C M, Schneider R F. Properties of a-SiOx∶H thin films deposited from hydrogen silsesquioxane resins[J]. Journal of the Electrochemical Society, 145, 2861-2866(1998).

    [90] Namatsu H, Takahashi Y, Yamazaki K et al. Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 16, 69-76(1998).

    [91] Ekinci Y, Solak H H, Padeste C et al. 20 nm line/space patterns in HSQ fabricated by EUV interference lithography[J]. Microelectronic Engineering, 84, 700-704(2007).

    [92] Kessel C, Boardman L, Rhyner S et al. Novel silicon-containing resists for EUV and 193 nm lithography[C], 3678(1999).

    [93] Dai J Y, Ober C K, Wang L et al. Organoelement resists for EUV lithography[J]. Proceedings of SPIE, 4690, 1193-1202(2002).

    [94] Dai J Y, Ober C K. Novel resists with non-traditional compositions for EUV lithography[J]. Proceedings of SPIE, 5376, 508-516(2004).

    [95] Kwark Y J, Bravo-Vasquez J P, Ober C K et al. Novel silicon-containing polymers as photoresist materials for EUV lithography[J]. Proceedings of SPIE, 5039, 1204-1211(2003).

    [96] Trikeriotis M, Bae W J, Schwartz E et al. Development of an inorganic photoresist for DUV, EUV, and electron beam imaging[J]. Proceedings of SPIE, 7639, 76390E(2010).

    [97] Trikeriotis M, Krysaki M, Chung Y S et al. Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning[J]. Journal of Photopolymer Science and Technology, 25, 583-586(2012).

    [98] Trikeriotis M, Krysak M, Chung Y S et al. A new inorganic EUV resist with high-etch resistance[J]. Proceedings of SPIE, 8322, 83220U(2012).

    [99] Jiang J, Chakrabarty S, Yu M F et al. Metal oxide nanoparticle photoresists for EUV patterning[J]. Journal of Photopolymer Science and Technology, 27, 663-666(2014).

    [100] Li L, Liu X, Pal S et al. Extreme ultraviolet resist materials for sub-7 nm patterning[J]. Chemical Society Reviews, 46, 4855-4866(2017).

    [101] Bae W J, Trikeriotis M, Sha J et al. High refractive index and high transparency HfO2 nanocomposites for next generation lithography[J]. Journal of Materials Chemistry, 20, 5186-5189(2010).

    [102] Chakrabarty S, Sarma C, Li L et al. Increasing sensitivity of oxide nanoparticle photoresists[J]. Proceedings of SPIE, 9048, 90481C(2014).

    [103] Li L, Chakrabarty S, Jiang J et al. Solubility studies of inorganic-organic hybrid nanoparticle photoresists with different surface functional groups[J]. Nanoscale, 8, 1338-1343(2016).

    [104] Kryask M, Trikeriotis M, Ouyang C et al. Nanoparticle photoresists: ligand exchange as a new, sensitive EUV patterning mechanism[J]. Journal of Photopolymer Science and Technology, 26, 659-664(2013).

    [105] Chakrabarty S, Ouyang C, Krysak M et al. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning[J]. Proceedings of SPIE, 8679, 867906(2013).

    [106] Yu M F, Giannelis E P, Ober C K. Positive tone oxide nanoparticle EUV (ONE) photoresists[J]. Proceedings of SPIE, 9779, 977905(2016).

    [107] Ober C, Giannelis E. New oxide nanoparticle extreme-UV photoresists achieve high sensitivity[J]. SPIE Newsroom(2014).

    [108] Li L, Chakrabarty S, Spyrou K et al. Studying the mechanism of hybrid nanoparticle photoresists: effect of particle size on photopatterning[J]. Chemistry of Materials, 27, 5027-5031(2015).

    [109] Mattson E C, Cabrera Y, Rupich S M et al. Chemical modification mechanisms in hybrid hafnium oxo-methacrylate nanocluster photoresists for extreme ultraviolet patterning[J]. Chemistry of Materials, 30, 6192-6206(2018).

    [110] Reddy P G, Mamidi N, Kumar P et al. Design, development, EUVL applications and nano mechanical properties of a new HfO2 based hybrid non-chemically amplified resist[J]. RSC Advances, 6, 67143-67149(2016).

    [111] Cardineau B, Del Re R, Marnell M et al. Photolithographic properties of tin-oxo clusters using extreme ultraviolet light (13.5 nm)[J]. Microelectronic Engineering, 127, 44-50(2014).

    [112] Haitjema J, Zhang Y, Vockenhuber M et al. Extreme ultraviolet patterning of tin-oxo cages[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 033510(2017).

    [113] Diulus J T, Frederick R T, Hutchison D C et al. Effect of ambient conditions on radiation-induced chemistries of a nanocluster organotin photoresist for next-generation EUV nanolithography[J]. ACS Applied Nano Materials, 3, 2266-2277(2020).

    [114] Frederick R T, Diulus J T, Hutchison D C et al. Effect of oxygen on thermal and radiation-induced chemistries in a model organotin photoresist[J]. ACS Applied Materials & Interfaces, 11, 4514-4522(2019).

    [115] Yeh C C, Liu H C, Chuang M Y et al. Controllable formation of zinc oxide micro- and nanostructures via DUV direct patterning[J]. Advanced Materials Interfaces, 3, 1600373(2016).

    [116] Yeh C C, Liu H C, Heni W et al. Chemical and structural investigation of zinc-oxo cluster photoresists for DUV lithography[J]. Journal of Materials Chemistry C, 5, 2611-2619(2017).

    [117] Xu H, Sakai K, Kasahara K et al. Metal-organic framework-inspired metal-containing clusters for high-resolution patterning[J]. Chemistry of Materials, 30, 4124-4133(2018).

    [118] Thakur N, Tseng L T, Vockenhuber M et al. Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 043504(2019).

    [119] Masson G. Cobalt-containing polymers as patterning assist layers in extreme ultraviolet lithography[J]. Proceedings of SPIE, 7636, 763631(2010).

    [120] Passarelli J, Cardineau B, del Re R et al. EUV resists comprised of main group organometallic oligomeric materials[J]. Proceedings of SPIE, 9051, 90512A(2014).

    [121] Satyanarayana V S V, Singh V, Kalyani V et al. A hybrid polymeric material bearing a ferrocene-based pendant organometallic functionality: synthesis and applications in nanopatterning using EUV lithography[J]. RSC Advances, 4, 59817-59820(2014).

    [122] Sortland M, Hotalen J, del Re R et al. Platinum and palladium oxalates: positive-tone extreme ultraviolet resists[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14, 043511(2015).

    [123] Passarelli J, Murphy M, del Re R et al. Organometallic carboxylate resists for extreme ultraviolet with high sensitivity[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 14, 043503(2015).

    [124] del Re R, Sortland M, Pasarelli J et al. Low-LER tin carboxylate photoresists using EUV[J]. Proceedings of SPIE, 9422, 942221(2015).

    [125] Passarelli J, Murphy M, del Re R et al. High-sensitivity molecular organometallic resist for EUV (MORE)[J]. Proceedings of SPIE, 9425, 94250T(2015).

    [126] Wilklow-Marnell M, Moglia D, Steimle B et al. First-row transitional-metal oxalate resists for EUV[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 043507(2018).

    [128] Peng X M, Wang Y F, Xu J et al. Molecular glass photoresists with high resolution, low LER, and high sensitivity for EUV lithography[J]. Macromolecular Materials and Engineering, 303, 1700654(2018).

    [129] Chen J P, Hao Q S, Wang S Q et al. Molecular glass resists based on 9,9′-spirobifluorene derivatives: pendant effect and comprehensive evaluation in extreme ultraviolet lithography[J]. ACS Applied Polymer Materials, 1, 526-534(2019).

    [130] Wang Y, Chen L, Yu J et al. Negative-tone molecular glass photoresist for high-resolution electron beam lithography[J]. Royal Society Open Science, 8, 202132(2021).

    [131] Chen L, Xu J, Yuan H et al. Outgassing analysis of molecular glass photoresists under EUV irradiation[J]. Science China Chemistry, 57, 1746-1750(2014).

    [132] Chen J P, Hao Q S, Wang S Q et al. Qualitative and quantitative measurement of outgassing of molecular glass photoresists under extreme ultraviolet lithography[J]. Chinese Journal of Analytical Chemistry, 48, 1658-1665(2020).

    [133] Yang G Q, Chen L, Wang Y F et al. Molecular glass chemically amplified photoresist based on metal porphyrin, and preparation method and application[P].

    [134] Yang G Q, Yu J T, Chen L et al. Molecular glass chemically amplified photoresist based on Metallocene compounds, and preparation method and application[P].

    [135] Chen J, Ye J, Li Y et al. Photoresist compositions based on metal nanoparticles and their applications[P].

    [136] Chen J P, Ye J, Li Y et al. Organic/inorganic hybrid photoresist compositions based on cerium dioxide nanoparticles[P].

    Xudong Guo, Guoqiang Yang, Yi Li. Development of Extreme Ultraviolet Photoresists[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922004
    Download Citation