• Acta Optica Sinica
  • Vol. 40, Issue 10, 1005001 (2020)
Wei Cheng1、2, Sikun Li1、2、*, Xiangzhao Wang1、2、**, and Zinan Zhang1、2
Author Affiliations
  • 1Laboratory of Information Optics and Opt-electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/AOS202040.1005001 Cite this Article Set citation alerts
    Wei Cheng, Sikun Li, Xiangzhao Wang, Zinan Zhang. Method for Profile Reconstruction of Phase Defects in Extreme Ultraviolet Lithography Mask[J]. Acta Optica Sinica, 2020, 40(10): 1005001 Copy Citation Text show less
    References

    [1] Ronse K, Jonckheere R, Gallagher E et al. EUVL is being inserted in manufacturing in 2019: what are the mask related challenges remaining?[J]. Proceedings of SPIE, 11177, 111770A(2019).

    [2] Jonckheere R. Overcoming EUV mask blank defects: what we can, and what we should[J]. Proceedings of SPIE, 10454, 104540M(2017).

    [3] Jonckheere R. EUV mask defectivity: a process of increasing control toward HVM[J]. Advanced Optical Technologies, 6, 203-220(2017).

    [4] Hashimoto T, Yamanashi H, Sugawara M et al. Lithographic characterization of EUVL mask blankdefects[J]. Proceedings of SPIE, 5374, 740-751(2004).

    [5] Bakshi V. EUV lithography[M]. 2nd ed. Washington: SPIE, 411-491(2018).

    [6] Zhang H, Li S K, Wang X Z et al. Optimization of defect compensation for extreme ultraviolet lithography mask by covariance-matrix-adaption evolution strategy[J]. Nanolithography, MEMS, and MOEMS, 17, 043505(2018).

    [7] Kwon H J, Harris-Jones J, Teki R et al. Printability of native blank defects and programmed defects and their stack structures[J]. Proceedings of SPIE, 8166, 81660H(2011).

    [8] Tchikoulaeva A, Miyai H, Suzuki T et al. EUV actinic blank inspection: from prototype to production[J]. Proceedings of SPIE, 8679, 86790I(2013).

    [9] Pang L Y, Satake M, Li Y et al. EUV multilayer defect compensation (MDC) by absorber pattern modification, film deposition, and multilayer peeling techniques[J]. Proceedings of SPIE, 8679, 86790U(2013).

    [10] Stearns D G, Mirkarimi P B, Spiller E. Localized defects in multilayer coatings[J]. Thin Solid Films, 446, 37-49(2004).

    [11] Upadhyaya M, Jindal V, Basavalingappa A et al. Evaluating printability of buried native EUV mask phase defects through a modeling and simulation approach[J]. Proceedings of SPIE, 9422, 94220Q(2015).

    [12] Upadhyaya M, Basavalingappa A, Herbol H et al. Level-set multilayer growth model for predicting printability of buried native extreme ultraviolet mask defects[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 33, 021602(2015).

    [13] Xu D B, Evanschitzky P, Erdmann A. Extreme ultraviolet multilayer defect analysis and geometry reconstruction[J]. Nanolithography, MEMS, and MOEMS, 15, 014002(2016).

    [14] Rastegar A, Jindal V. EUV mask defects and their removal[J]. Proceedings of SPIE, 8352, 83520W(2012).

    [15] Zheng G A, Horstmeyer R, Yang C. Wide-field, high-resolution Fourier ptychographic microscopy[J]. Nature Photonics, 7, 739-745(2013).

    [16] Wojdyla A, Benk M P, Naulleau P P et al. EUV photolithography mask inspection using Fourier ptychography[J]. Proceedings of SPIE, 10656, 106560W(2018).

    [17] Ou X Z, Horstmeyer R, Zheng G A et al. High numerical aperture Fourier ptychography: principle, implementation and characterization[J]. Optics Express, 23, 3472-3491(2015).

    [18] LeCun Y, Bengio Y, Hinton G. Deep learning[J]. Nature, 521, 436-444(2015).

    [19] Gu J X, Wang Z H, Kuen J et al. Recent advances in convolutional neural networks[J]. Pattern Recognition, 77, 354-377(2018).

    [20] Svozil D, Kvasnicka V, Pospichal J. Introduction to multi-layer feed-forward neural networks[J]. Chemometrics and Intelligent Laboratory Systems, 39, 43-62(1997).

    [21] Ito M, Ogawa T, Otaki K et al. Simulation of multilayer defects in extreme ultraviolet masks[J]. Japanese Journal of Applied Physics, 40, 2549-2553(2001).

    [22] Liu X L, Li S K, Wang X Z. Simplified model for defective multilayer diffraction spectrum simulation in extreme ultraviolet lithography[J]. Acta Optica Sinica, 34, 0905002(2014).

    [23] Zhang H, Li S K, Wang X Z et al. 3D rigorous simulation of defective masks used for EUV lithography via machine learning-based calibration[J]. Acta Optica Sinica, 38, 1222002(2018).

    [24] Mochi I, Goldberg K A, Xie R et al. Quantitative evaluation of mask phase defects from through-focus EUV aerial images[J]. Proceedings of SPIE, 7969, 79691X(2011).

    [25] Smaali R, Besacier M, Schiavone P. Three-dimensional rigorous simulation of EUV defective masks using modal method by Fourier expansion[J]. Proceedings of SPIE, 6151, 615124(2006).

    [26] Shen L N, Wang X Z, Li S K et al. General analytical expressions for the impact of polarization aberration on lithographic imaging under linearly polarized illumination[J]. Journal of the Optical Society of America A, 33, 1112-1119(2016).

    [27] Abadi M, Barham P, Chen J M et al. TensorFlow: a system for large-scale machine learning. [C]∥12th Symposium on Operating Systems Design and Implementation, November 2-4, 2016, Savannah, GA, USA. Berkeley: USENIX, 265-283(2016).

    [28] Kingma D P. -01-30)[2019-12-29]. https: ∥arxiv.xilesou., top/abs/1412, 6980(2017).

    Wei Cheng, Sikun Li, Xiangzhao Wang, Zinan Zhang. Method for Profile Reconstruction of Phase Defects in Extreme Ultraviolet Lithography Mask[J]. Acta Optica Sinica, 2020, 40(10): 1005001
    Download Citation