• Journal of Semiconductors
  • Vol. 43, Issue 11, 113101 (2022)
Lulu Guan1, Xingyu Li1, Dongchen Che2, Kaidong Xu1、2, and Shiwei Zhuang1、*
Author Affiliations
  • 1School of Physics and Electronic Engineering, Jiangsu Normal University, Xuzhou 221116, China
  • 2Jiangsu Leuven Instruments Co. Ltd, Xuzhou 221300, China
  • show less
    DOI: 10.1088/1674-4926/43/11/113101 Cite this Article
    Lulu Guan, Xingyu Li, Dongchen Che, Kaidong Xu, Shiwei Zhuang. Plasma atomic layer etching of GaN/AlGaN materials and application: An overview[J]. Journal of Semiconductors, 2022, 43(11): 113101 Copy Citation Text show less
    References

    [1] Stepanova M, Dew S. Nanofabrication: Techniques and principles. Springer Science & Business Media, 2011

    [2] Berger L I. Semiconductor devices. Semiconductor Materials. CRC Press, 2020

    [3] Look D. Electrical characterization of GaAs materials and devices. New York: Wiley, 1989

    [4] R Lai, X B Mei, W R Deal et al. Sub 50 nm InP HEMT device with fmax greater than 1 THz. 2007 IEEE International Electron Devices Meeting, 609(2007).

    [5] Y Q Zhang. The application of third generation semiconductor in power industry. E3S Web Conf, 198, 04011(2020).

    [6] T Paskova, K R Evans. GaN substrates — Progress, status, and prospects. IEEE J Sel Top Quantum Electron, 15, 1041(2009).

    [7] S Zhu, M Mizuno, Y Kagawa et al. Monotonic tension, fatigue and creep behavior of SiC-fiber-reinforced SiC-matrix composites: A review. Compos Sci Technol, 59, 833(1999).

    [8] M Li, G Li, J Jiang et al. Ultraviolet resistance and antimicrobial properties of ZnO in the polypropylene materials: A review. J Mater Sci Technol, 31, 331(2015).

    [9] P R Chalker. Wide bandgap semiconductor materials for high temperature electronics. Thin Solid Films, 343/344, 616(1999).

    [10] P Moontragoon, Z Ikonić, P Harrison. Band structure calculations of Si-Ge-Sn alloys: Achieving direct band gap materials. Semicond Sci Technol, 22, 742(2007).

    [11] X Zhang, Y Jin, K Yang et al. Resonant nonlinear susceptibility near the GaAs band gap. Phys Rev Lett, 69, 2303(1992).

    [12] R Dingle, D D Sell, S E Stokowski et al. Absorption, reflectance, and luminescence of GaN epitaxial layers. Phys Rev B, 4, 1211(1971).

    [13] U K Mishra, P Parikh, Y F Wu. AlGaN/GaN HEMTs — an overview of device operation and applications. Proc IEEE, 90, 1022(2002).

    [14] F Medjdoub, J F Carlin, C Gaquiere et al. Status of the emerging InAlN/GaN power HEMT technology. Open Electr Electron Eng J, 2, 1(2008).

    [15] T Hashizume, S Ootomo, S Oyama et al. Chemistry and electrical properties of surfaces of GaN and GaN/AlGaN heterostructures. J Vac Sci Technol B, 19, 1675(2001).

    [16] F Medjdoub, J F Carlin, M Gonschorek et al. Can InAlN/GaN be an alternative to high power/high temperature AlGaN/GaN devices. 2006 Int Electron Devices Meet, 1(2006).

    [17] W Saito, Y Takada, M Kuraguchi et al. High breakdown voltage AlGaN-GaN power-HEMT design and high current density switching behavior. IEEE Trans Electron Devices, 50, 2528(2003).

    [18] A K Panda, D Pavlidis, E Alekseev. DC and high-frequency characteristics of GaN-based IMPATTs. IEEE Trans Electron Devices, 48, 820(2001).

    [19] K S Boutros, R M Chu, B Hughes. GaN power electronics for automotive application. 2012 IEEE Energytech, 1(2012).

    [20] Gupta A, Chatterjee N, Tripathy M R, et al. Design and simulation of GaN HEMT and its application to RF amplifiers. 2016 Progress in Electromagnetic Research Symposium, 2016, 3815

    [21] Y Arakawa. Progress in GaN-based quantum dots for optoelectronics applications. IEEE J Sel Top Quantum Electron, 8, 823(2002).

    [22] E A Jones, F F Wang, D Costinett. Review of commercial GaN power devices and GaN-based converter design challenges. IEEE J Emerg Sel Top Power Electron, 4, 707(2016).

    [23] J Chen, X Du, Q M Luo et al. A review of switching oscillations of wide bandgap semiconductor devices. IEEE Trans Power Electron, 35, 13182(2020).

    [24] K J Kanarik, T Lill, E A Hudson et al. Overview of atomic layer etching in the semiconductor industry. J Vac Sci Technol A, 33, 020802(2015).

    [25] Yoder M N. Atomic layer etching. Department of the Navy Washington DC, 1988

    [26] Y Horiike, T Tanaka, M Nakano et al. Digital chemical vapor deposition and etching technologies for semiconductor processing. J Vac Sci Technol A, 8, 1844(1990).

    [27] Y Aoyagi, K Shinmura, K Kawasaki et al. Molecular layer etching of GaAs. Appl Phys Lett, 60, 968(1992).

    [28] A Agarwal, M J Kushner. Plasma atomic layer etching using conventional plasma equipment. J Vac Sci Technol A, 27, 37(2009).

    [29] T Matsuura, J Murota, Y Sawada et al. Self-limited layer-by-layer etching of Si by alternated chlorine adsorption and Ar+ ion irradiation. Appl Phys Lett, 63, 2803(1993).

    [30] S Negi, R Bhandari. Silicon isotropic and anisotropic etching for MEMS applications. Microsyst Technol, 19, 203(2013).

    [31] C Youtsey, G Bulman, I Adesida. Dopant-selective photoenhanced wet etching of GaN. J Electron Mater, 27, 282(1998).

    [32] L H Peng, C W Chuang, J K Ho et al. Deep ultraviolet enhanced wet chemical etching of gallium nitride. Appl Phys Lett, 72, 939(1998).

    [33] J L Weyher, F D Tichelaar, D H van Dorp et al. The K2S2O8-KOH photoetching system for GaN. J Cryst Growth, 312, 2607(2010).

    [34] V M Donnelly, A Kornblit. Plasma etching: Yesterday, today, and tomorrow. J Vac Sci Technol A, 31, 050825(2013).

    [35] S J Pearton, R J Shul, F Ren. A review of dry etching of GaN and related materials. MRS Internet J Nitride Semicond Res, 5, 1(2020).

    [36] C M Huard, Y T Zhang, S Sriraman et al. Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions. J Vac Sci Technol A, 35, 031306(2017).

    [37] F Rahman, J C Runyon. Atomic layer processes for material growth and etching — a review. IEEE Trans Semicond Manuf, 34, 500(2021).

    [38] Y Lee, S M George. Atomic layer etching of Al2O3 using sequential, self-limiting thermal reactions with Sn(acac)2 and hydrogen fluoride. ACS Nano, 9, 2061(2015).

    [39] J W Clancey, A S Cavanagh, J E T Smith et al. Volatile etch species produced during thermal Al2O3 atomic layer etching. J Phys Chem C, 124, 287(2020).

    [40] Y Lee, S M George. Thermal atomic layer etching of Al2O3, HfO2, and ZrO2 using sequential hydrogen fluoride and dimethylaluminum chloride exposures. J Phys Chem C, 123, 18455(2019).

    [41] S M George. Mechanisms of thermal atomic layer etching. Acc Chem Res, 53, 1151(2020).

    [42] T Sugiyama, T Matsuura, J Murota. Atomic-layer etching of Ge using an ultraclean ECR plasma. Appl Surf Sci, 112, 187(1997).

    [43] S D Athavale. Realization of atomic layer etching of silicon. J Vac Sci Technol B, 14, 3702(1996).

    [44] W S Lim, S D Park, B J Park et al. Atomic layer etching of (100)/(111) GaAs with chlorine and low angle forward reflected Ne neutral beam. Surf Coat Technol, 202, 5701(2008).

    [45] N Otsuka, Y Oyama, H Kikuchi et al. Digital etching of (001) InP substrate by intermittent injection of tertiarybutylphosphine in ultrahigh vacuum. Jpn J Appl Phys, 37, L1509(1998).

    [46] W S Lim, Y Y Kim, H Kim et al. Atomic layer etching of graphene for full graphene device fabrication. Carbon, 50, 429(2012).

    [47] E Vogli, D Metzler, G S Oehrlein. Feasibility of atomic layer etching of polymer material based on sequential O2 exposure and Ar low-pressure plasma-etching. Appl Phys Lett, 102, 253105(2013).

    [48] N Posseme, O Pollet, S Barnola. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium. Appl Phys Lett, 105, 051605(2014).

    [49] S Rauf, T Sparks, P L G Ventzek et al. A molecular dynamics investigation of fluorocarbon based layer-by-layer etching of silicon and SiO2. J Appl Phys, 101, 033308(2007).

    [50] J B Park, W S Lim, S D Park et al. Etch characteristics of TiO2 etched by using an atomic layer etching technique with BCl3 gas and an Ar neutral beam. J Korean Phy Soc, 54, 976(2009).

    [51] K S Min, S H Kang, J K Kim et al. Atomic layer etching of Al2O3 using BCl3/Ar for the interface passivation layer of III-V MOS devices. Microelectron Eng, 110, 457(2013).

    [52] T Ohba, W B Yang, S Tan et al. Atomic layer etching of GaN and AlGaN using directional plasma-enhanced approach. Jpn J Appl Phys, 56, 06HB06(2017).

    [53] J P Ibbetson, P T Fini, K D Ness et al. Polarization effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field effect transistors. Appl Phys Lett, 77, 250(2000).

    [54] W B Lanford, T Tanaka, Y Otoki et al. Recessed-gate enhancement-mode GaN HEMT with high threshold voltage. Electron Lett, 41, 449(2005).

    [55] Y Cai, Y G Zhou, K J Chen et al. Self-aligned enhancement-mode AlGaN/GaN HEMTs using fluoride-based plasma treatment. 63rd Device Res Conf Dig 2005 DRC '05, 1, 179(2005).

    [56] M A Khan, X Hu, A Tarakji et al. AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors on SiC substrates. Appl Phys Lett, 77, 1339(2000).

    [57] H X Jiang, C W Tang, K M Lau. Enhancement-mode GaN MOS-HEMTs with recess-free barrier engineering and high-k ZrO2 gate dielectric. IEEE Electron Device Lett, 39, 405(2018).

    [58] J Ren, C W Tang, H Feng et al. A novel 700 V monolithically integrated Si-GaN cascoded field effect transistor. IEEE Electron Device Lett, 39, 394(2018).

    [59] H C Chiu, C W Yang, C H Chen et al. Characterization of enhancement-mode AlGaN/GaN high electron mobility transistor using N2O plasma oxidation technology. Appl Phys Lett, 99, 153508(2011).

    [60] Y Jiang, Z Y Wan, G N Zhou et al. A novel oxygen-based digital etching technique for p-GaN/AlGaN structures without etch-stop layers. Chin Phys Lett, 37, 068503(2020).

    [61] R Sokolovskij, J Sun, F Santagata et al. Precision recess of AlGaN/GaN with controllable etching rate using ICP-RIE oxidation and wet etching. Procedia Eng, 168, 1094(2016).

    [62] D Buttari, A Chini, T Palacios et al. Origin of etch delay time in Cl2 dry etching of AlGaN/GaN structures. Appl Phys Lett, 83, 4779(2003).

    [63] J Y Wu, S Q Lei, W C Cheng et al. Oxygen-based digital etching of AlGaN/GaN structures with AlN as etch-stop layers. J Vac Sci Technol A, 37, 060401(2019).

    [64] S D Burnham, K Boutros, P Hashimoto et al. Gate-recessed normally-off GaN-on-Si HEMT using a new O2-BCl3 digital etching technique. Phys Status Solidi C, 7, 2010(2010).

    [65] Burnham S, Boutros K. Two stage plasma etching method for enhancement mode GaN HFET. US Patent 8 124 505, 2012

    [66] A Basu, V Kumar, I Adesida. Study of fluorine bombardment on the electrical properties of AlGaN∕GaN heterostructures. J Vac Sci Technol B, 25, 2607(2007).

    [67] Roux F Le, N Possémé, P Burtin et al. XPS study of a selective GaN etching process using self-limiting cyclic approach for power devices application. Microelectron Eng, 228, 111328(2020).

    [68] A P Shah, A Bhattacharya. Inductively coupled plasma reactive-ion etching of β-Ga2O3: Comprehensive investigation of plasma chemistry and temperature. J Vac Sci Technol A, 35, 041301(2017).

    [69] I H Hwang, H Y Cha, K S Seo. Low-damage and self-limiting (Al)GaN etching process through atomic layer etching using O2 and BCl3 plasma. Coatings, 11, 268(2021).

    [70] Q L Hu, S C Li, T Y Li et al. Channel engineering of normally-OFF AlGaN/GaN MOS-HEMTs by atomic layer etching and high-k dielectric. IEEE Electron Device Lett, 39, 1377(2018).

    [71] F Z Du, Y Jiang, Z P Qiao et al. Atomic layer etching technique for InAlN/GaN heterostructure with AlN etch-stop layer. Mater Sci Semicond Process, 143, 106544(2022).

    [72] K Harafuji, K Kawamura. Chemical sputtering of GaN crystal with a chlorine-adsorbed layer. Jpn J Appl Phys, 49, 08JE03(2010).

    [73] Ohba T, Yang W, Tan S, et al. Atomic layer etching of GaN/AlGaN. 38th International Symposium on Dry Process, 2016

    [74] K J Kanarik, S Tan, W B Yang et al. Predicting synergy in atomic layer etching. J Vac Sci Technol A, 35, 05C302(2017).

    [75] S Aroulanda, O Patard, P Altuntas et al. Cl2/Ar based atomic layer etching of AlGaN layers. J Vac Sci Technol A, 37, 041001(2019).

    [76] H Fukumizu, M Sekine, M Hori et al. Atomic layer etching of AlGaN using Cl2 and Ar gas chemistry and UV damage evaluation. J Vac Sci Technol A, 37, 021002(2019).

    [77] J F Ziegler, M D Ziegler, J P Biersack. SRIM - The stopping and range of ions in matter (2010). Nucl Instrum Methods Phys Res Sect B, 268, 1818(2010).

    [78] C Kauppinen, S A Khan, J Sundqvist et al. Atomic layer etching of gallium nitride (0001). J Vac Sci Technol A, 35, 060603(2017).

    [79] Y C Zhang, S Huang, K Wei et al. Millimeter-wave AlGaN/GaN HEMTs with 43.6% power-added-efficiency at 40 GHz fabricated by atomic layer etching gate recess. IEEE Electron Device Lett, 41, 701(2020).

    [80] C Mannequin, C Vallée, K Akimoto et al. Comparative study of two atomic layer etching processes for GaN. J Vac Sci Technol A, 38, 032602(2020).

    [81] I L Berry, K J Kanarik, T Lill et al. Applying sputtering theory to directional atomic layer etching. J Vac Sci Technol A, 36, 01B105(2018).

    [82] R Kawakami, T Inaoka, K Tominaga et al. Effects of capacitively coupled radio frequency krypton and argon plasmas on gallium nitride etching damage. Jpn J Appl Phys, 48, 08HF01(2009).

    [83] S Ruel, P Pimenta-Barros, F Le Roux et al. Atomic layer etching of GaN using Cl2 and He or Ar plasma. J Vac Sci Technol A, 39, 022601(2021).

    [84] A P Zhang, G T Dang, F Ren et al. Effect of N2 discharge treatment on AlGaN/GaN high electron mobility transistor ohmic contacts using inductively coupled plasma. J Vac Sci Technol A, 18, 1149(2000).

    Lulu Guan, Xingyu Li, Dongchen Che, Kaidong Xu, Shiwei Zhuang. Plasma atomic layer etching of GaN/AlGaN materials and application: An overview[J]. Journal of Semiconductors, 2022, 43(11): 113101
    Download Citation