• Acta Optica Sinica
  • Vol. 37, Issue 10, 1022001 (2017)
Lei Wang1、2, Sikun Li1、2, Xiangzhao Wang1、2、*, and Chaoxing Yang1
Author Affiliations
  • 1 Laboratory of Information Optics and Opto-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2 University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/AOS201737.1022001 Cite this Article Set citation alerts
    Lei Wang, Sikun Li, Xiangzhao Wang, Chaoxing Yang. Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm[J]. Acta Optica Sinica, 2017, 37(10): 1022001 Copy Citation Text show less
    References

    [1] Erdmann A, Farkas R, Fühner T et al. Mask and source optimization for lithographic imaging systems[C]. SPIE, 5182, 88-102(2003).

    [2] Wong A K K[M]. Resolution enhancement techniques in optical lithography(2001).

    [3] Mack C. Fundamental principles of optical lithography: the science of microfabrication[M]. Chichester: John Wiley and Sons(2007).

    [4] Sears M K, Smith B W. Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography[C]. SPIE, 8683, 86830G(2013).

    [5] Fühner T. Artificial evolution for the optimization of lithographic process conditions Erlangen-Nürnberg:[D]. FAU(2013).

    [6] Lai K. Review of computational lithography modeling: focusing on extending optical lithography and design-technology co-optimization[J]. Advanced Optical Technologies, 1, 249-267(2012). http://www.degruyter.com/doi/10.1515/aot-2012-0037

    [7] Deng Y F, Coskun T H, Kye J et al. Lithography target optimization with source-mask optimization[C]. SPIE, 8326, 83262P(2012).

    [8] Rosenbluth A E, Bukofsky S, Hibbs M et al. Optimum mask and source patterns to print a given shape[C]. SPIE, 4346, 486-502(2001).

    [9] Staals F, Andryzhyieuskaya A, Bakker H et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[C]. SPIE, 7973, 79731G(2011).

    [10] Sears M K, Fenger G, Mailfert J et al. Extending SMO into the lens pupil domain[C]. SPIE, 7973, 79731B(2011).

    [11] Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 52, 314-322(2013). http://europepmc.org/abstract/med/23338176

    [12] Han C Y, Li Y Q, Dong L S et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 53, 6861-6871(2014). http://www.opticsinfobase.org/abstract.cfm?URI=ao-53-29-6861

    [13] Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 22, 9471-9485(2014). http://www.opticsinfobase.org/abstract.cfm?URI=oe-22-8-9471

    [14] Li J, Lam E Y. Joint optimization of source, mask, and pupil in optical lithography[C]. SPIE, 9052, 90520S(2014).

    [15] Fühner T, Evanschitzky P, Erdmann A. Mutual source, mask and projector pupil optimization[C]. SPIE, 8326, 83260I(2012).

    [16] Wong P, Bisschop P D, Robertson S et al. Litho1-litho2 proximity differences for LELE and LPLE double patterning processes[C]. SPIE, 8326, 83260E(2012).

    [17] Yaegashi H, Oyama K, Hara A et al. Overview: continuous evolution on double-patterning process[C]. SPIE, 8325, 83250B(2012).

    [18] Yaegashi H, Oyama K, Hara A et al. Recent progress on multiple-patterning process[C]. SPIE, 9051, 90510X(2014).

    [19] Nakajima F, Kodama C, Nakayama K et al. Self-aligned quadruple patterning-compliant placement[C]. SPIE, 9427, 942708(2015).

    [20] Tsai M C, Hsu S, Chen L Q et al. Full-chip source and mask optimization[C]. SPIE, 7973, 79730A(2011).

    [21] Adam K, Lam M C, Cobb N et al. Application of the hybrid Hopkins-Abbe method in full-chip OPC[J]. Microelectronic Engineering, 86, 492-496(2009). http://dl.acm.org/citation.cfm?id=1540926

    [22] Kennedy J, Eberhart R. Particle swarm optimization[C]. 1995 IEEE International Conference on Neural Networks Proceedings, 4, 1942-1948(1995).

    [23] Jones K O. Comparison of genetic algorithm and particle swarm optimization[C]. International Conference on Computer Systems and Technologies, 1-6(2005).

    [24] Wang Lei, Li Sikun, Wang Xiangzhao et al. Source optimization using particle swarm optimization algorithm in optical lithography[J]. Acta Optica Sinica, 35, 0422002(2015).

    [25] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 5, 043002(2006). http://spie.org/Publications/Journal/10.1117/1.2399537

    [26] Ma X, Wu B L, Song Z Y et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 043007(2014). http://proceedings.spiedigitallibrary.org/article.aspx?articleid=1926457

    [27] Zhang J, Xiong W, Wang Y et al. A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique[C]. International Conference on Computer Aided Design, 182, 480-487(2008).

    [28] Wu X F, Liu S Y, Lü W et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 31, B1-B9(2014). http://www.opticsinfobase.org/josaa/upcoming_pdf.cfm?id=216337

    [29] Zhang J Y, Xiong W, Tsai M C et al. Efficient mask design for inverse lithography technology based on 2D discrete cosine transformation (DCT)[C]. Simulation of Semiconductor Processes and Devices, 12, 49-52(2007).

    [30] Shen S H, Yu P, Pan D Z. Enhanced DCT2-based inverse mask synthesis with initial SRAF insertion[C]. SPIE, 7122, 712241(2008).

    [31] Ma X, Arce G R. Computational lithography[M]. New York: John Wiley and Sons(2010).

    [32] Wong A K K[M]. Optical imaging in projection microlithography(2005).

    [33] Yan Guanyong, Li Sikun, Wang Xiangzhao. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 34, 1022004(2014).

    [34] Poonawala A, Milanfar P. Mask design for optical microlithography-an inverse imaging problem[J]. IEEE Transactions on Image Processing, 16, 774-788(2007). http://www.ncbi.nlm.nih.gov/pubmed/17357736

    [35] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics and Laser Technology, 45, 285-293(2013). http://www.sciencedirect.com/science/article/pii/S0030399212003015

    [36] Kennedy J F, Kennedy J, Eberhart R C et al. Swarm intelligence[M]. San Francisco: Morgan Kaufmann(2001).

    [37] Evanschitzky P, Shao F, Fühner T et al. Compensation of mask induced aberrations by projector wavefront control[C]. SPIE, 7973, 797329(2011).

    [38] Zhao B, Guo C X, Cao Y J. A multiagent-based particle swarm optimization approach for optimal reactive power dispatch[J]. IEEE Transactions on Power Systems, 20, 1070-1078(2005). http://ieeexplore.ieee.org/document/1425605/

    [39] Ling S H. Iu H H C, Leung F H F, et al. Improved hybrid particle swarm optimized wavelet neural network for modeling the development of fluid dispensing for electronic packaging[J]. IEEE Transactions on Industrial Electronics, 55, 3447-3460(2008). http://ieeexplore.ieee.org/document/4609014/

    CLP Journals

    [1] Boer Zhu, Sikun Li, Xiangzhao Wang, Fengzhao Dai, Feng Tang, Lifeng Duan. High-Order Aberration Measurement Technique for Immersion Lithography Projection Lens Based on Multi-Polarized illuminations[J]. Acta Optica Sinica, 2018, 38(7): 0712004

    Lei Wang, Sikun Li, Xiangzhao Wang, Chaoxing Yang. Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm[J]. Acta Optica Sinica, 2017, 37(10): 1022001
    Download Citation