• Acta Optica Sinica
  • Vol. 39, Issue 12, 1222001 (2019)
Ruifeng Ming1, Yayi Wei1、2、*, and Lisong Dong2
Author Affiliations
  • 1School of Microelectronics, University of Chinese Academy of Sciences, Beijing 100049, China
  • 2Institute of Microelectronics of the Chinese Academy of Sciences, Beijing 100029, China
  • show less
    DOI: 10.3788/AOS201939.1222001 Cite this Article Set citation alerts
    Ruifeng Ming, Yayi Wei, Lisong Dong. Influence of Optical System Aberration on Critical Dimension of EUV Lithography Imaging[J]. Acta Optica Sinica, 2019, 39(12): 1222001 Copy Citation Text show less
    References

    [1] Yao C C. Research on the thermal aberration and active compensation in deep ultraviolet lithography projection objective[D]. Changchun: University of Chinese Academy of Sciences, 1-5(2016).

    [2] van de Kerkhof M, Jasper H, Levasier L et al. . Enabling sub-10 nm node lithography: presenting the NXE: 3400B EUV scanner[J]. Proceedings of SPIE, 10143, 101430D(2017). http://www.spie.org/Publications/Proceedings/Paper/10.1117/12.2258025

    [3] Pirati A, Peeters R, Smith D et al. EUV lithography performance for manufacturing: status and outlook[J]. Proceedings of SPIE, 9776, 97760A(2016). http://www.spie.org/x648.xml?product_id=2220423

    [4] Fujishima Y, Ishiyama S, Isago S et al. Comprehensive thermal aberration and distortion control of lithographic lenses for accurate overlay[J]. Proceedings of SPIE, 8683, 86831I(2013).

    [5] Hwang J G, Kim I S, Kim G J et al. CD error caused by aberration and its possible compensation by optical proximity correction in extreme-ultraviolet lithography[J]. Proceedings of SPIE, 10143, 101431U(2017). http://www.spie.org/Publications/Proceedings/Paper/10.1117/12.2261827

    [6] Smith B W[M]. Optics for photolithography, 149-242(2007).

    [7] Meng Z J, Li S K, Wang X Z et al. Polarization aberration measurement method based on principal component analysis of different aerial images[J]. Acta Optica Sinica, 39, 0712006(2019).

    [8] Fenger G L, Sun L, Raghunathan S et al. Extreme ultraviolet lithography resist-based aberration metrology[J]. Nanolithography, MEMS, and MOEMS, 12, 043001(2013). http://spie.org/Publications/Journal/10.1117/1.JMM.12.4.043001

    [9] Nakajima Y, Sato T, Inanami R et al. Aberration budget in extreme ultraviolet lithography[J]. Proceedings of SPIE, 6921, 69211A(2008). http://www.spie.org/Publications/Proceedings/Paper/10.1117/12.771602

    [10] Wei Y Y[M]. Advanced lithography theory and application of VLSI, 510, 519-524.

    [11] Last T, de Winter L, van Adrichem P et al. . Illumination pupil optimization in 0.33-NA extreme ultraviolet lithography by intensity balancing for semi-isolated dark field two-bar M1 building blocks[J]. Nanolithography, MEMS, and MOEMS, 15, 043508(2016). http://spie.org/Publications/Journal/10.1117/1.JMM.15.4.043508

    [12] Brittany M. Mask roughness induced LER in EUV lithography California: University of California,[D]. Berkeley, 57-64(2011).

    Ruifeng Ming, Yayi Wei, Lisong Dong. Influence of Optical System Aberration on Critical Dimension of EUV Lithography Imaging[J]. Acta Optica Sinica, 2019, 39(12): 1222001
    Download Citation