• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922010 (2022)
Lufeng Liao1、2, Sikun Li1、2, Zinan Zhang1、2, and Xiangzhao Wang1、2、*
Author Affiliations
  • 1Laboratory of Information Optics and Opto-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/LOP202259.0922010 Cite this Article Set citation alerts
    Lufeng Liao, Sikun Li, Zinan Zhang, Xiangzhao Wang. Research on Source and Mask Optimization[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922010 Copy Citation Text show less
    References

    [1] Wang X Z, Dai F Z, Li S K et al[M]. Integrated circuit and lithographic tool(2020).

    [2] Wang X Z, Dai F Z[M]. Image quality measurement techniques for lithographic tools (volume Ⅰ)(2021).

    [3] Wang X Z, Dai F Z[M]. Image quality measurement techniques for lithographic tools (volume Ⅱ)(2021).

    [4] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 45, 285-293(2013).

    [5] Yang C X. Study on source mask optimization based on genetic algorithm for lithograhpy[D](2016).

    [6] Yang C X, Wang X Z, Li S K et al. Source mask optimization using real-coded genetic algorithms[J]. Proceedings of SPIE, 8683, 86831T(2013).

    [7] Yang C X, Li S K, Wang X Z. Efficient source mask optimization using multipole source representation[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 13, 043001(2014).

    [8] Yan G Y. Study on source mask optimization and aberration measurement techniques for lithography tools[D](2015).

    [9] Yan G Y, Li S K, Wang X Z. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 34, 1022004(2014).

    [10] Li Z Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[D](2014).

    [11] Li Z Z, Li S K, Wang X Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[J]. Acta Optica Sinica, 34, 0911002(2014).

    [12] Wang L, Li S K, Wang X Z et al. Source optimization using particle swarm optimization algorithm in photolithography[J]. Proceedings of SPIE, 9426, 94261L(2015).

    [13] Wang L, Li S K, Wang X Z et al. Pixelated source optimization for optical lithography via particle swarm optimization[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 013506(2016).

    [14] Wang L, Li S K, Wang X Z et al. Pixel-based mask optimization via particle swarm optimization algorithm for inverse lithography[J]. Proceedings of SPIE, 9780, 97801V(2016).

    [15] Wang L. Source mask projector optimization using particle swarm optimization algorithm in optical lithography[D](2017).

    [16] Wang L, Li S K, Wang X Z et al. Source mask projector optimization method of lithography tools based on particle swarm optimization algorithm[J]. Acta Optica Sinica, 37, 1022001(2017).

    [17] Yang C X, Li S K, Wang X Z. Source mask optimization based on dynamic fitness function[J]. Acta Optica Sinica, 36, 0111006(2016).

    [18] Yang C X, Li S K, Wang X Z. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 36, 0811001(2016).

    [19] Liao L F, Li S K, Wang X Z et al. Critical pattern selection method for full-chip source and mask optimization[J]. Optics Express, 28, 20748-20763(2020).

    [20] Chen G D, Li S K, Wang X Z. Source mask optimization using the covariance matrix adaptation evolution strategy[J]. Optics Express, 28, 33371-33389(2020).

    [21] Chen G D. Study on computational lithography techniques for deep ultraviolet lithography[D](2021).

    [22] Liao L F, Li S K, Wang X Z et al. Critical pattern selection based on diffraction spectrum analysis for full-chip source mask optimization[J]. Acta Optica Sinica, 40, 2122001(2020).

    [23] Zhang Z N, Li S K, Wang X Z et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 29, 22778-22795(2021).

    [24] Zhang Z N, Li S K, Wang X Z et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 29, 5448-5465(2021).

    [25] Yang X H, Li S K, Liao L F et al. Critical pattern selection method based on depth-first search for full-chip source and mask optimization[J]. Acta Optica Sinica, 42, 1022002(2022).

    [26] Rosenbluth A E, Bukofsky S J, Hibbs M S et al. Optimum mask and source patterns to print a given shape[J]. Proceedings of SPIE, 4346, 486-502(2001).

    [27] Hsu S, Li Z P, Chen L Q et al. Source-mask co-optimization: optimize design for imaging and impact of source complexity on lithography performance[J]. Proceedings of SPIE, 7520, 75200D(2009).

    [28] Lai K, Rosenbluth A E, Bagheri S et al. Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22 nm logic lithography process[J]. Proceedings of SPIE, 7274, 72740A(2009).

    [29] Tian K H, Fakhry M, Dave A et al. Applicability of global source mask optimization to 22/20 nm node and beyond[J]. Proceedings of SPIE, 7973, 79730C(2011).

    [30] Lai K, Gabrani M, Demaris D et al. Design specific joint optimization of masks and sources on a very large scale[J]. Proceedings of SPIE, 7973, 797308(2011).

    [31] Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO)[J]. Proceedings of SPIE, 180-193(2005).

    [32] Hsu S, Chen L Q, Li Z P et al. An innovative source-mask co-optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 7140, 714010(2008).

    [33] Bekaert J, Laenens B, Verhaegen S et al. Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells[J]. Proceedings of SPIE, 7640, 764008(2010).

    [34] de Bisschop P, Laenens B, Iwase K et al. Joint optimization of layout and litho for SRAM and logic towards the 20nm node using 193i[J]. Proceedings of SPIE, 7973, 79730B(2011).

    [35] Socha R, Jhaveri T, Dusa M et al. Design compliant source mask optimization (SMO)[J]. Proceedings of SPIE, 7748, 77480T(2010).

    [36] Liu X F, Howell R, Hsu S et al. EUV source-mask optimization for 7 nm node and beyond[J]. Proceedings of SPIE, 9048, 90480Q(2014).

    [37] Kim H C, Nam D S, Hwang C et al. Layer-specific illumination optimization by Monte Carlo method[J]. Proceedings of SPIE, 5040, 244-250(2003).

    [38] Granik Y. Source optimization for image fidelity and throughput[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 3, 509-522(2004).

    [39] Granik Y. Solving inverse problems of optical microlithography[J]. Proceedings of SPIE, 5754, 506-526(2005).

    [40] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 5, 043002(2006).

    [41] Mülders T, Domnenko V, Küchler B et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 7823, 78233X(2010).

    [42] Gao W M, Sethi S, Domnenko V et al. Point-source approach of source-mask optimization[J]. Proceedings of SPIE, 7748, 77480U(2010).

    [43] Pang L Y, Xiao G M, Tolani V et al. Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO)[J]. Proceedings of SPIE, 7122, 71221W(2008).

    [44] Torunoglu I, Elsen E, Karakas A. A GPU-based full-chip source-mask optimization solution[J]. Proceedings of SPIE, 7640, 76401L(2010).

    [45] Flagello D, Matsui R, Yano K et al. The development of a fast physical photoresist model for OPE and SMO applications from an optical engineering perspective[J]. Proceedings of SPIE, 8326, 83260R(2012).

    [46] Hashimoto T, Kai Y, Masukawa K et al. Robust SMO methodology for exposure tool and mask variations in high volume production[J]. Proceedings of SPIE, 8683, 868309(2013).

    [47] Fühner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[J]. Proceedings of SPIE, 5754, 415-426(2005).

    [48] Fühner T, Erdmann A, Evanschitzky P. Simulation-based EUV source and mask optimization[J]. Proceedings of SPIE, 7122, 71221Y(2008).

    [49] Fühner T, Evanschitzky P, Erdmann A. Mutual source, mask and projector pupil optimization[J]. Proceedings of SPIE, 8326, 83260I(2012).

    [50] Ma X, Arce G R. Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography[J]. Optics Express, 17, 5783-5793(2009).

    [51] Jia N N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Optics Express, 19, 19384-19398(2011).

    [52] Yu J C, Yu P C. Gradient-based fast source mask optimization (SMO)[J]. Proceedings of SPIE, 797320(2011).

    [53] Peng Y, Zhang J Y, Wang Y et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 20, 2856-2864(2011).

    [54] Chang J H C, Chen C C P, Melvin I L S. Abbe-PCA-SMO: microlithography source and mask optimization based on Abbe-PCA[J]. Proceedings of SPIE, 7640, 764026(2010).

    [55] Li J, Liu S Y, Lam E Y. Efficient source and mask optimization with augmented Lagrangian methods in optical lithography[J]. Optics Express, 21, 8076-8090(2013).

    [56] Wu X F, Liu S Y, Li J et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 22, 3924-3937(2014).

    [57] Ma X, Li Y Q, Guo X J et al. Robust resolution enhancement optimization methods to process variations based on vector imaging model[J]. Proceedings of SPIE, 8326, 83262A(2012).

    [58] Han C Y, Li Y Q, Ma X et al. Robust hybrid source and mask optimization to lithography source blur and flare[J]. Applied Optics, 54, 5291-5302(2015).

    [59] Sears M K, Fenger G, Mailfert J et al. Extending SMO into the lens pupil domain[J]. Proceedings of SPIE, 7973, 79731B(2011).

    [60] Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 22, 9471-9485(2014).

    [61] Tsai M C, Hsu S, Chen L Q et al. Full-chip source and mask optimization[J]. Proceedings of SPIE, 7973, 79730A(2011).

    [62] Zhang D Q, Chua G, Foong Y et al. Source mask optimization methodology (SMO) and application to real full chip optical proximity correction[J]. Proceedings of SPIE, 8326, 83261V(2012).

    [63] Pei J, Shao F, ElSewefy O et al. Compatibility of optimized source over design changes in the foundry environment[J]. Proceedings of SPIE, 8683, 86831M(2013).

    [64] Melville D, Rosenbluth A E, Tian K H et al. Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations[J]. Proceedings of SPIE, 7640, 764006(2010).

    [65] Lin B J[M]. Optical lithography: here is why(2010).

    [66] Poonawala A, Stanton W, Sawh C. Source mask optimization for advanced lithography nodes[J]. Proceedings of SPIE, 7640, 76401M(2010).

    [67] Kojima Y, Moniwa A, Maruyama T et al. Novel OPC flow for the trim-mask lithography[J]. Proceedings of SPIE, 8441, 84410I(2012).

    [68] Wong A K[M]. Resolution enhancement techniques in optical lithography(2001).

    Lufeng Liao, Sikun Li, Zinan Zhang, Xiangzhao Wang. Research on Source and Mask Optimization[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922010
    Download Citation