• Journal of Semiconductors
  • Vol. 41, Issue 2, 022406 (2020)
Weixiong Jiang1、2、3, Heng Yu4, Jiale Zhang1、2、3, Jiaxuan Wu1、2、3, Shaobo Luo5, and Yajun Ha1、2、3
Author Affiliations
  • 1School of Information Science and Technology, ShanghaiTech University, Shanghai 201210, China
  • 2Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China
  • 3University of Chinese Academy of Sciences, Beijing 100049, China
  • 4University of Nottingham Ningbo China, Ningbo 315100, China
  • 5Universite Paris-Est, Paris 93162, France
  • show less
    DOI: 10.1088/1674-4926/41/2/022406 Cite this Article
    Weixiong Jiang, Heng Yu, Jiale Zhang, Jiaxuan Wu, Shaobo Luo, Yajun Ha. Optimizing energy efficiency of CNN-based object detection with dynamic voltage and frequency scaling[J]. Journal of Semiconductors, 2020, 41(2): 022406 Copy Citation Text show less
    References

    [1]

    [2]

    [3] L Bai, Y Zhao, X Huang. A CNN accelerator on FPGA using depthwise separable convolution. IEEE Trans Circuits Syst II, 65, 1415(2018).

    [4]

    [5]

    [6]

    [7]

    [8] K Guo, L Sui, J Qiu et al. Angel-eye: A complete design flow for mapping CNN onto embedded FPGA. IEEE Trans Comput-Aid Des Integr Circuits Syst, 37, 35(2018).

    [9] Y Ma, Y Cao, S Vrudhula et al. Performance modeling for cnn inference accelerators on FPGA. IEEE Trans Comput-Aid Des Integr Circuits Syst(2019).

    [10]

    [11]

    [12] M Motamedi, D Fong, S Ghiasi. Machine intelligence on resource-constrained IoT devices: The case of thread granularity optimization for CNN inference. ACM Trans Embedded Comput Syst, 16, 151(2017).

    [13]

    [14]

    [15]

    [16] Y Chen, Y Zhu, F Qiao et al. Evaluating data resilience in CNNs from an approximate memory perspective. Proceedings of the on Great Lakes Symposium on VLSI, 89(2017).

    [17]

    [18] J L Nunez-Yanez. Adaptive voltage scaling with in-situ detectors in commercial FPGAs. IEEE Trans Comput, 64, 45(2014).

    [19] A Nabina, J L Nunez-Yanez. Adaptive voltage scaling in a dynamically reconfigurable FPGA-based platform. ACM Trans Reconfig Technol Syst, 5, 20(2012).

    [20]

    [21]

    [22]

    [23]

    [24] J L Nunez-Yanez. Energy proportional neural network inference with adaptive voltage and frequency scaling. IEEE Trans Comput, 99, 1(2018).

    [25]

    [26]

    [27]

    [28] H Huang, V Chaturvedi, G Quan et al. Throughput maximization for periodic real-time systems under the maximal temperature constraint. ACM Trans Embed Comput Syst, 13, 70(2014).

    [29]

    [30]

    [31] Y Ma, T Chantem, R P Dick et al. Improving system-level lifetime reliability of multicore soft real-time systems. IEEE Trans Very Large Scale Integr Syst, 25, 1895(2017).

    [32] K Bong, S Choi, C Kim et al. Low-power convolutional neural network processor for a face-recognition system. IEEE Micro, 37, 30(2017).

    [33]

    [34] G C Hsieh, J C Hung. Phase-locked loop techniques. A survey. IEEE Trans Indust Electron, 43, 609(1996).

    [35] J H Kim, Y H Kwak, M Kim et al. A 120-MHz–1.8-GHz CMOS dll-based clock generator for dynamic frequency scaling. IEEE J Solid-State Circuits, 41, 2077(2006).

    [36]

    [37] A F Beldachi, J L Nunez-Yanez. Run-time power and performance scaling in 28 nm FPGAs. IET Comput Digit Tech, 8, 178(2014).

    [38]

    [39]

    Weixiong Jiang, Heng Yu, Jiale Zhang, Jiaxuan Wu, Shaobo Luo, Yajun Ha. Optimizing energy efficiency of CNN-based object detection with dynamic voltage and frequency scaling[J]. Journal of Semiconductors, 2020, 41(2): 022406
    Download Citation