• Journal of Semiconductors
  • Vol. 43, Issue 3, 031401 (2022)
Zhiting Lin, Zhongzhen Tong, Jin Zhang, Fangming Wang, Tian Xu, Yue Zhao, Xiulong Wu, Chunyu Peng, Wenjuan Lu, Qiang Zhao, and Junning Chen
Author Affiliations
  • School of Integrated Circuits, Anhui University, Hefei 230601, China
  • show less
    DOI: 10.1088/1674-4926/43/3/031401 Cite this Article
    Zhiting Lin, Zhongzhen Tong, Jin Zhang, Fangming Wang, Tian Xu, Yue Zhao, Xiulong Wu, Chunyu Peng, Wenjuan Lu, Qiang Zhao, Junning Chen. A review on SRAM-based computing in-memory: Circuits, functions, and applications[J]. Journal of Semiconductors, 2022, 43(3): 031401 Copy Citation Text show less
    References

    [1] X Si, W S Khwa, J J Chen et al. A dual-split 6T SRAM-based computing-in-memory unit-macro with fully parallel product-sum operation for binarized DNN edge processors. IEEE Trans Circuits Syst I, 66, 4172(2019).

    [2] W S Khwa, J J Chen, J F Li et al. A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors. 2018 IEEE International Solid-State Circuits Conference, 496(2018).

    [3] A Jaiswal, I Chakraborty, A Agrawal et al. 8T SRAM cell as a multibit dot-product engine for beyond von Neumann computing. IEEE Trans Very Large Scale Integr VLSI Syst, 27, 2556(2019).

    [4] L Lu, T Yoo, V L Le et al. A 0.506-pJ 16-kb 8T SRAM with vertical read wordlines and selective dual split power lines. IEEE Trans Very Large Scale Integr VLSI Syst, 28, 1345(2020).

    [5] Z T Lin, H L Zhan, X Li et al. In-memory computing with double word lines and three read Ports for four operands. IEEE Trans Very Large Scale Integr VLSI Syst, 28, 1316(2020).

    [6] S Srinivasa, W H Chen, Y N Tu et al. Monolithic-3D integration augmented design techniques for computing in SRAMs. 2019 IEEE International Symposium on Circuits and Systems, 1(2019).

    [7] J M Zeng, Z Zhang, R H Chen et al. DM-IMCA: A dual-mode in-memory computing architecture for general purpose processing. IEICE Electron Express, 17, 20200005(2020).

    [8] M Ali, A Agrawal, K Roy. RAMANN: in-SRAM differentiable memory computations for memory-augmented neural networks. Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design, 61(2020).

    [9] A Agrawal, A Jaiswal, D Roy et al. Xcel-RAM: Accelerating binary neural networks in high-throughput SRAM compute arrays. IEEE Trans Circuits Syst I, 66, 3064(2019).

    [10] A Biswas, A P Chandrakasan. CONV-SRAM: An energy-efficient SRAM with in-memory dot-product computation for low-power convolutional neural networks. IEEE J Solid State Circuits, 54, 217(2019).

    [11] Z T Lin, Z Y Zhu, H L Zhan et al. Two-direction in-memory computing based on 10T SRAM with horizontal and vertical decoupled read Ports. IEEE J Solid State Circuits, 56, 2832(2021).

    [12] J C Wang, X W Wang, C Eckert et al. A 28-nm compute SRAM with bit-serial logic/arithmetic operations for programmable in-memory vector computing. IEEE J Solid State Circuits, 55, 76(2020).

    [13] J C Wang, X W Wang, C Eckert et al. A compute SRAM with bit-serial integer/floating-point operations for programmable in-memory vector acceleration. 2019 IEEE International Solid-State Circuits Conference, 224(2019).

    [14] H W Jiang, X C Peng, S S Huang et al. CIMAT: a transpose SRAM-based compute-in-memory architecture for deep neural network on-chip training. Proceedings of the International Symposium on Memory Systems, 490(2019).

    [15] J T Zhang, Z Wang, N Verma. In-memory computation of a machine-learning classifier in a standard 6T SRAM array. IEEE J Solid State Circuits, 52, 915(2017).

    [16] J T Zhang, Z Wang, N Verma. A machine-learning classifier implemented in a standard 6T SRAM array. 2016 IEEE Symposium on VLSI Circuits, 1(2016).

    [17] Z W Jiang, S H Yin, M Seok et al. XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks. 2018 IEEE Symp VLSI Technol, 173(2018).

    [18] A Agrawal, A Jaiswal, C Lee et al. X-SRAM: Enabling in-memory Boolean computations in CMOS static random access memories. IEEE Trans Circuits Syst I, 65, 4219(2018).

    [19] S Jeloka, N B Akesh, D Sylvester et al. A 28 nm configurable memory (TCAM/BCAM/SRAM) using push-rule 6T bit cell enabling logic-in-memory. IEEE J Solid State Circuits, 51, 1009(2016).

    [20] Q Dong, S Jeloka, M Saligane et al. A 4 2T SRAM for searching and in-memory computing with 0.3-V VDDmin. IEEE J Solid State Circuits, 53, 1006(2018).

    [21] A K Rajput, M Pattanaik. Implementation of Boolean and arithmetic functions with 8T SRAM cell for in-memory computation. 2020 International Conference for Emerging Technology, 1(2020).

    [22] A Jaiswal, A Agrawal, M F Ali et al. I-SRAM: Interleaved wordlines for vector Boolean operations using SRAMs. IEEE Trans Circuits Syst I, 67, 4651(2020).

    [23] N Surana, M Lavania, A Barma et al. Robust and high-performance 12-T interlocked SRAM for in-memory computing. 2020 Design, Automation & Test in Europe Conference & Exhibition, 1323(2020).

    [24] W A Simon, Y M Qureshi, M Rios et al. BLADE: an in-cache computing architecture for edge devices. IEEE Trans Comput, 69, 1349(2020).

    [25] J Chen, W F Zhao, Y J Ha. Area-efficient distributed arithmetic optimization via heuristic decomposition and in-memroy computing. 2019 IEEE 13th International Conference on ASIC, 1(2019).

    [26] K Lee, J Jeong, S Cheon et al. Bit parallel 6T SRAM in-memory computing with reconfigurable bit-precision. 2020 57th ACM/IEEE Design Automation Conference, 1(2020).

    [27] W Simon, J Galicia, A Levisse et al. A fast, reliable and wide-voltage-range in-memory computing architecture. Proceedings of the 56th Annual Design Automation Conference, 1(2019).

    [28] H C Chen, J F Li, C L Hsu et al. Configurable 8T SRAM for enbling in-memory computing. 2019 2nd International Conference on Communication Engineering and Technology, 139(2019).

    [29] N Gupta, A Makosiej, A Vladimirescu et al. 1.56GHz/0.9V energy-efficient reconfigurable CAM/SRAM using 6T-CMOS bitcell. ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference, 316(2017).

    [30] X Y Sun, R Liu, X C Peng et al. Computing-in-memory with SRAM and RRAM for binary neural networks. 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology, 1(2018).

    [31] Z W Jiang, S H Yin, J S Seo et al. C3SRAM: in-memory-computing SRAM macro based on capacitive-coupling computing. IEEE Solid State Circuits Lett, 2, 131(2019).

    [32] X Si, J J Chen, Y N Tu et al. A twin-8T SRAM computation-in-memory unit-macro for multibit CNN-based AI edge processors. IEEE J Solid State Circuits, 55, 189(2020).

    [33] Y C Chiu, Z X Zhang, J J Chen et al. A 4-kb 1-to-8-bit configurable 6T SRAM-based computation-in-memory unit-macro for CNN-based AI edge processors. IEEE J Solid State Circuits, 55, 2790(2020).

    [34] Z Y Chen, Z H Yu, Q Jin et al. CAP-RAM: A charge-domain in-memory computing 6T-SRAM for accurate and precision-programmable CNN inference. IEEE J Solid State Circuits, 56, 1924(2021).

    [35] M G Kang, S K Gonugondla, A Patil et al. A multi-functional in-memory inference processor using a standard 6T SRAM array. IEEE J Solid State Circuits, 53, 642(2018).

    [36]

    [37] Q Dong, M E Sinangil, B Erbagci et al. A 351TOPS/W and 372.4GOPS compute-in-memory SRAM macro in 7nm FinFET CMOS for machine-learning applications. 2020 IEEE International Solid-State Circuits Conference, 242(2020).

    [38] M E Sinangil, B Erbagci, R Naous et al. A 7-nm compute-in-memory SRAM macro supporting multi-bit input, weight and output and achieving 351 TOPS/W and 372.4 GOPS. IEEE J Solid State Circuits, 56, 188(2021).

    [39]

    [40] M G Kang, S K Gonugondla, N R Shanbhag. A 19.4 nJ/decision 364K decisions/s in-memory random forest classifier in 6T SRAM array. ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference, 263(2017).

    [41] J Chang, Y H Chen, G Chan et al. A 5nm 135Mb SRAM in EUV and high-mobility-channel FinFET technology with metal coupling and charge-sharing write-assist circuitry schemes for high-density and low-VMIN applications. 2020 IEEE International Solid-State Circuits Conference, 238(2020).

    [42] X Si, Y N Tu, W H Huang et al. A 28nm 64Kb 6T SRAM computing-in-memory macro with 8b MAC operation for AI edge chips. 2020 IEEE International Solid-State Circuits Conference, 246(2020).

    [43] J W Su, X Si, Y C Chou et al. A 28nm 64Kb inference-training two-way transpose multibit 6T SRAM compute-in-memory macro for AI edge chips. 2020 IEEE International Solid-State Circuits Conference, 240(2020).

    [44] M Ali, A Jaiswal, S Kodge et al. IMAC: in-memory multi-bit multiplication and ACcumulation in 6T SRAM array. IEEE Trans Circuits Syst I, 67, 2521(2020).

    [45] S K Gonugondla, M G Kang, N Shanbhag. A 42pJ/decision 3.12TOPS/W robust in-memory machine learning classifier with on-chip training. 2018 IEEE International Solid-State Circuits Conference, 490(2018).

    [46] S S Huang, H W Jiang, X C Peng et al. XOR-CIM: compute-in-memory SRAM architecture with embedded XOR encryption. Proceedings of the 39th International Conference on Computer-Aided Design, 1(2020).

    [47] H Kim, Q Chen, B Kim. A 16K SRAM-based mixed-signal in-memory computing macro featuring voltage-mode accumulator and row-by-row ADC. 2019 IEEE Asian Solid-State Circuits Conference, 35(2019).

    [48] S Jain, L Y Lin, M Alioto. Broad-purpose in-memory computing for signal monitoring and machine learning workloads. IEEE Solid State Circuits Lett, 3, 394(2020).

    [49] S K Bose, V Mohan, A Basu. A 75kb SRAM in 65nm CMOS for in-memory computing based neuromorphic image denoising. 2020 IEEE International Symposium on Circuits and Systems, 1(2020).

    [50] M G Kang, M S Keel, N R Shanbhag et al. An energy-efficient VLSI architecture for pattern recognition via deep embedding of computation in SRAM. 2014 IEEE International Conference on Acoustics, Speech and Signal Processing, 8326(2014).

    [51] M X Gong, N Y Cao, M Y Chang et al. A 65nm thermometer-encoded time/charge-based compute-in-memory neural network accelerator at 0.735pJ/MAC and 0.41pJ/update. IEEE Trans Circuits Syst II, 68, 1408(2021).

    [52] E Lee, T Han, D Seo et al. A charge-domain scalable-weight in-memory computing macro with dual-SRAM architecture for precision-scalable DNN accelerators. IEEE Trans Circuits Syst I, 68, 3305(2021).

    [53] J Kim, J Koo, T Kim et al. Area-efficient and variation-tolerant in-memory BNN computing using 6T SRAM array. 2019 Symposium on VLSI Circuits, C118(2019).

    [54] J P Noel, M Pezzin, R Gauchi et al. A 35.6 TOPS/W/mm2 3-stage pipelined computational SRAM with adjustable form factor for highly data-centric applications. IEEE Solid State Circuits Lett, 3, 286(2020).

    [55] H W Jiang, X C Peng, S S Huang et al. CIMAT: A compute-in-memory architecture for on-chip training based on transpose SRAM arrays. IEEE Trans Comput, 69, 944(2020).

    [56] A Biswas, A P Chandrakasan. Conv-RAM: An energy-efficient SRAM with embedded convolution computation for low-power CNN-based machine learning applications. 2018 IEEE International Solid-State Circuits Conference, 488(2018).

    [57] V T Nguyen, J S Kim, J W Lee. 10T SRAM computing-in-memory macros for binary and multibit MAC operation of DNN edge processors. IEEE Access, 9, 71262(2021).

    [58] Z W Jiang, S H Yin, J S Seo et al. C3SRAM: an in-memory-computing SRAM macro based on robust capacitive coupling computing mechanism. IEEE J Solid State Circuits, 55, 1888(2020).

    [59] H Y Jia, M Ozatay, Y Q Tang et al. A programmable neural-network inference accelerator based on scalable in-memory computing. 2021 IEEE International Solid-State Circuits Conference, 236(2021).

    [60] H Y Jia, H Valavi, Y Q Tang et al. A programmable heterogeneous microprocessor based on bit-scalable in-memory computing. IEEE J Solid State Circuits, 55, 2609(2020).

    [61] H Valavi, P J Ramadge, E Nestler et al. A mixed-signal binarized convolutional-neural-network accelerator integrating dense weight storage and multiplication for reduced data movement. 2018 IEEE Symposium on VLSI Circuits, 141(2018).

    [62] J W Su, Y C Chou, R H Liu et al. A 28nm 384kb 6T-SRAM computation-in-memory macro with 8b precision for AI edge chips. 2021 IEEE International Solid- State Circuits Conference, 250(2021).

    [63] R Khaddam-Aljameh, P A Francese, L Benini et al. An SRAM-based multibit in-memory matrix-vector multiplier with a precision that scales linearly in area, time, and power. IEEE Trans Very Large Scale Integr VLSI Syst, 29, 372(2020).

    [64] J Zhang, Z T Lin, X L Wu et al. An 8T SRAM array with configurable word lines for in-memory computing operation. Electronics, 10, 300(2021).

    [65] S Nasrin, S Ramakrishna, T Tulabandhula et al. Supported-BinaryNet: Bitcell array-based weight supports for dynamic accuracy-energy trade-offs in SRAM-based binarized neural network. 2020 IEEE International Symposium on Circuits and Systems, 1(2020).

    [66] S K Gonugondla, M G Kang, N R Shanbhag. A variation-tolerant in-memory machine learning classifier via on-chip training. IEEE J Solid State Circuits, 53, 3163(2018).

    [67] B Wang, T Q Nguyen, A T Do et al. Design of an ultra-low voltage 9T SRAM with equalized bitline leakage and CAM-assisted energy efficiency improvement. IEEE Trans Circuits Syst I, 62, 441(2015).

    [68] C X Xue, W C Zhao, T H Yang et al. A 28-nm 320-kb TCAM macro using split-controlled single-load 14T cell and triple-margin voltage sense amplifier. IEEE J Solid State Circuits, 54, 2743(2019).

    [69] H W Jiang, R Liu, S M Yu. 8T XNOR-SRAM based parallel compute-in-memory for deep neural network accelerator. 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems, 257(2020).

    [70] M G Kang, N R Shanbhag. In-memory computing architectures for sparse distributed memory. IEEE Trans Biomed Circuits Syst, 10, 855(2016).

    [71] S Jain, L Y Lin, M Alioto. ±CIM SRAM for signed in-memory broad-purpose computing from DSP to neural processing. IEEE J Solid State Circuits, 56, 2981(2021).

    [72] J S Yue, X Y Feng, Y F He et al. A 2.75-to-75.9TOPS/W computing-in-memory NN processor supporting set-associate block-wise zero skipping and Ping-pong CIM with simultaneous computation and weight updating. 2021 IEEE International Solid- State Circuits Conference, 238(2021).

    [73] X X Yang, K R Zhu, X Y Tang et al. An in-memory-computing charge-domain ternary CNN classifier. 2021 IEEE Custom Integrated Circuits Conference, 1(2021).

    [74] Y LeCun. Deep learning hardware: Past, present, and future. 2019 IEEE International Solid-State Circuits Conference, 12(2019).

    [75] Y D Chih, P H Lee, H Fujiwara et al. 16.4 an 89TOPS/W and 16.3TOPS/mm2 all-digital SRAM-based full-precision compute-in memory macro in 22nm for machine-learning edge applications. 2021 IEEE International Solid-State Circuits Conference, 252(2021).

    [76] S H Sie, J L Lee, Y R Chen et al. MARS: multi-macro architecture SRAM CIM-based accelerator with co-designed compressed neural networks. IEEE Trans Comput Aided Des Integr Circuits Syst, in press(2021).

    [77] A Agrawal, A Kosta, S Kodge et al. CASH-RAM: Enabling in-memory computations for edge inference using charge accumulation and sharing in standard 8T-SRAM arrays. IEEE J Emerg Sel Top Circuits Syst, 10, 295(2020).

    [78] J S Yue, Z Yuan, X Y Feng et al. A 65nm computing-in-memory-based CNN processor with 2.9-to-35.8TOPS/W system energy efficiency using dynamic-sparsity performance-scaling architecture and energy-efficient inter/intra-macro data reuse. 2020 IEEE International Solid-State Circuits Conference, 234(2020).

    [79] Z T Lin, H L Zhan, Z W Chen et al. Cascade current mirror to improve linearity and consistency in SRAM in-memory computing. IEEE J Solid State Circuits, 56, 2550(2021).

    [80] Z T Lin, Y Q Fang, C Y Peng et al. Current mirror-based compensation circuit for multi-row read in-memory computing. Electron Lett, 55, 1176(2019).

    [81] Y Kim, H Kim, J Park et al. Mapping binary resnets on computing-in-memory hardware with low-bit ADCs. 2021 Design, Automation & Test in Europe Conference & Exhibition, 856(2021).

    Zhiting Lin, Zhongzhen Tong, Jin Zhang, Fangming Wang, Tian Xu, Yue Zhao, Xiulong Wu, Chunyu Peng, Wenjuan Lu, Qiang Zhao, Junning Chen. A review on SRAM-based computing in-memory: Circuits, functions, and applications[J]. Journal of Semiconductors, 2022, 43(3): 031401
    Download Citation