• Laser & Optoelectronics Progress
  • Vol. 59, Issue 9, 0922021 (2022)
Zinan Zhang1、2, Sikun Li1、2, and Xiangzhao Wang1、2、*
Author Affiliations
  • 1Laboratory of Information Optics and Opt-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • show less
    DOI: 10.3788/LOP202259.0922021 Cite this Article Set citation alerts
    Zinan Zhang, Sikun Li, Xiangzhao Wang. Research Progress on the Imaging of Three-Dimensional Mask for Extreme Ultraviolet Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922021 Copy Citation Text show less
    References

    [1] Wang X Z, Dai F Z, Li S K et al[M]. Integrated circuit and lithographic tool(2020).

    [2] Wang X Z, Dai F Z[M]. Image quality measurement techniques for lithographic tool (volume Ⅰ)(2021).

    [3] Wang X Z, Dai F Z[M]. Image quality measurement techniques for lithographic tool (volume Ⅱ)(2021).

    [4] Mao Y J. Study on key techniques of scanner matching[D](2019).

    [5] Zhu B E. Study on wavefront aberration measurement for immersion lithographic projection lens[D](2018).

    [6] Meng Z J. Study on polarization aberration measurement for immersion lithographic projection lens[D](2019).

    [7] Wei Y Y[M]. Advanced lithography theory and application of VLSI(2016).

    [8] Bakshi V[M]. EUV lithography(2018).

    [9] Hawryluk A M, Seppala L G. Soft X-ray projection lithography using an X-ray reduction camera[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 6, 2162-2166(1988).

    [10] Yan T N. Saving Moore’s law: the development process of mass production of ASML extreme ultraviolet (EUV) lithography technology[EB/OL]. https://technews.tw/2021/02/15/euv-mass-production-of-lithography-technology/

    [11] van Schoot J, Lok S, van Setten E et al. High-NA EUV lithography exposure tool: advantages and program progress[J]. Proceedings of SPIE, 11517, 1151712(2021).

    [12] Zhang H. Study on three-dimensional mask modeling and defect compensation method in extreme ultraviolet lithography[D](2019).

    [13] Cao Y T. Simplified mask diffraction model and its application in extreme-ultraviolet lithography[D](2012).

    [14] Liu X L. Study on mask modeling and defect compensation method in extreme ultraviolet lithography[D](2015).

    [15] Zhang Z N, Li S K, Wang X Z et al. Compensation method for shadow effect of extreme ultraviolet lithography mask[P].

    [16] Zhang Z N, Li S K, Wang X Z et al. Fast rigorous mask model for extreme ultraviolet lithography[J]. Applied Optics, 59, 7376-7389(2020).

    [17] Zhang Z N, Li S K, Wang X Z et al. Fast mask model for extreme ultraviolet lithography with a slanted absorber sidewall[J]. Applied Optics, 60, 5776-5782(2021).

    [18] Zhang Z N, Li S K, Wang X Z et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 29, 5448-5465(2021).

    [19] Zhang Z N, Li S K, Wang X Z et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 29, 22778-22795(2021).

    [20] Cao Y T, Wang X Z, Erdmann A et al. Analytical model for EUV mask diffraction field calculation[J]. Proceedings of SPIE, 8171, 81710N(2011).

    [21] Cao Y T, Wang X Z, Bu Y. Fast simulation method for contact hole mask in extreme-ultraviolet lithography[J]. Acta Optica Sinica, 32, 0705001(2012).

    [22] Liu X L, Li S K, Wang X Z. Simulation model based on equivalent layer method for defective mask multilayer in extremeultra violet lithography[J]. Acta Optica Sinica, 35, 0622005(2015).

    [23] Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 38, 0105001(2018).

    [24] Zhang H, Li S K, Wang X Z et al. 3D rigorous simulation of defective masks used for EUV lithography via machine learning-based calibration[J]. Acta Optica Sinica, 38, 1222002(2018).

    [25] Cao Y T, Wang X Z, Bu Y et al. Analysis of mask shadowing effects in extreme-ultraviolet lithography[J]. Acta Optica Sinica, 32, 0805001(2012).

    [26] Cao Y T, Wang X Z, Tu Y Y et al. Impact of mask absorber thickness on the focus shift effect in extreme ultraviolet lithography[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 30, 031602(2012).

    [28] Erdmann A[M]. Optical and EUV lithography: a modeling perspective(2021).

    [29] Mack C[M]. Fundamental principles of optical lithography: the science of microfabrication(2007).

    [30] Levinson H[M]. Principles of lithography(2010).

    [31] Yu S S, Yen A[M]. Optical physics for nanolithography(2018).

    [32] Wong A K[M]. Optical imaging in projection microlithography(2005).

    [33] Wu X F, Liu S Y, Liu W et al. Comparison of three TCC calculation algorithms for partially coherent imaging simulation[J]. Proceedings of SPIE, 7544, 75440Z(2010).

    [34] Cobb N. Fast optical and process proximity correction algorithms for integrated circuit manufacturing[D](1998).

    [35] Yamazoe K. Computation theory of partially coherent imaging by stacked pupil shift matrix[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 25, 3111-3119(2008).

    [36] Gong P, Liu S Y, Lv W et al. Fast aerial image simulations for partially coherent systems by transmission cross coefficient decomposition with analytical kernels[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 30, 06FG03(2012).

    [37] Rosenbluth A E. Decomposition of the TCC using non-coherent kernels for faster calculation of lithographic images[J]. Proceedings of SPIE, 10147, 101470P(2017).

    [38] Adam K, Granik Y, Torres A et al. Improved modeling performance with an adapted vectorial formulation of the Hopkins imaging equation[J]. Proceedings of SPIE, 5040, 78-91(2003).

    [39] Hafeman S, Neureuther A R. Simulation of imaging and stray light effects in immersion lithography[J]. Proceedings of SPIE, 5040, 700-712(2003).

    [40] Pistor T. Electromagnetic simulation and modeling with applications in lithography[D](2001).

    [41] Schermer J, Evanschitzky P, Erdmann A. Rigorous mask modeling beyond the Hopkins approach[J]. Proceedings of SPIE, 6281, 62810A(2006).

    [42] Liu P, Cao Y, Chen L Q et al. Fast and accurate 3D mask model for full-chip OPC and verification[J]. Proceedings of SPIE, 6520, 65200R(2007).

    [43] Adam K, Lam M C. Hybrid Hopkins-Abbe method for modeling oblique angle mask effects in OPC[J]. Proceedings of SPIE, 6924, 69241E(2008).

    [44] Zhang H B, Yan Q L, Zhang L et al. Efficient full-chip mask 3D model for off-axis illumination[J]. Proceedings of SPIE, 8880, 888023(2013).

    [45] Liu P, Xie X B, Liu W et al. Fast 3D thick mask model for full-chip EUVL simulations[J]. Proceedings of SPIE, 8679, 86790W(2013).

    [46] Rahimi Z, Erdmann A, Evanschitzky P et al. Rigorous EMF simulation of absorber shape variations and their impact on lithographic processes[J]. Proceedings of SPIE, 7545, 75450C(2010).

    [47] Deng Y F, Pistor T V, Neureuther A R. Models for characterizing the printability of buried EUV defects[J]. Proceedings of SPIE, 4343, 551-558(2001).

    [48] Gordon R L, Mack C A. Mask topography simulation for EUV lithography[J]. Proceedings of SPIE, 3676, 283-297(1999).

    [49] Deng Y F, la Fontaine B M, Levinson H J et al. Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging[J]. Proceedings of SPIE, 5037, 302-313(2003).

    [50] Zhu Z R, Lucas K, Cobb J L et al. Rigorous EUV mask simulator using 2D and 3D waveguide methods[J]. Proceedings of SPIE, 5037, 494-503(2003).

    [51] Schiavone P, Granet G, Robic J Y. Rigorous electromagnetic simulation of EUV masks: influence of the absorber properties[J]. Microelectronic Engineering, 57/58, 497-503(2001).

    [52] Yeung M S. A next-generation EMF simulator for EUV lithography based on the pseudo-spectral time-domain method[J]. Proceedings of SPIE, 8322, 83220D(2012).

    [53] Pomplun J, Burger S, Schmidt F et al. Rigorous FEM simulation of EUV masks: influence of shape and material parameters[J]. Proceedings of SPIE, 6349, 63493D(2006).

    [54] Taflove A, Oskooi A, Johnson S et al[M]. Advances in FDTD computational electrodynamics(2013).

    [55] Vial A, Erdmann A, Schmoeller T et al. Modification of boundaries conditions in the FDTD algorithm for EUV mask modeling[J]. Proceedings of SPIE, 4754, 890-899(2002).

    [56] Moharam M G, Gaylord T K, Pommet D A et al. Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach[J]. Journal of the Optical Society of America A, 12, 1077-1086(1995).

    [57] Erdmann A, Evanschitzky P, Citarella G et al. Rigorous mask modeling using waveguide and FDTD methods: an assessment for typical hyper-NA imaging problems[J]. Proceedings of SPIE, 6283, 628319(2006).

    [58] Evanschitzky P, Shao F, Erdmann A et al. Simulation of larger mask areas using the waveguide method with fast decomposition technique[J]. Proceedings of SPIE, 6730, 67301P(2007).

    [59] Shao F, Evanschitzky P, Reibold D et al. Fast rigorous simulation of mask diffraction using the waveguide method with parallelized decomposition technique[J]. Proceedings of SPIE, 6792, 679206(2008).

    [60] Yeung M, Barouch E. A very fast and accurate rigorous EMF simulator for EUVL masks based on the pseudo-spectral time-domain method[J]. Proceedings of SPIE, 8701, 870113(2013).

    [61] Tirapu-Azpiroz J, Burchard P, Yablonovitch E. Boundary layer model to account for thick mask effects in photolithography[J]. Proceedings of SPIE, 5040, 1611-1619(2003).

    [62] Ma X, Arce G R. Binary mask optimization for forward lithography based on the boundary layer model in coherent systems[J]. Journal of the Optical Society of America A, 26, 1687-1695(2009).

    [63] Yan P Y. Understanding Bossung curve asymmetry and focus shift effect in EUV lithography[J]. Proceedings of SPIE, 4562, 279-287(2002).

    [64] Lam M C, Neureuther A R. Simplified model for absorber feature transmissions on EUV masks[J]. Proceedings of SPIE, 6349, 63492H(2006).

    [65] Li Y, Peng D P, Satake M et al. A fast approach to model EUV mask 3D and shadowing effects[J]. Proceedings of SPIE, 8352, 835207(2012).

    [66] Liu P, Zhang Z F, Lan S et al. A full-chip 3D computational lithography framework[J]. Proceedings of SPIE, 8326, 83260A(2012).

    [67] Zhang H B, Yan Q L, Croffie E et al. An accurate ILT-enabling full-chip mask 3D model for all-angle patterns[J]. Proceedings of SPIE, 8880, 88800G(2013).

    [68] Zhang H B, Yan Q L, Wei D et al. A pattern- and optics-independent compact model of Mask3D under off-axis illumination with significant efficiency and accuracy improvements[J]. Proceedings of SPIE, 9426, 94260Q(2015).

    [69] Hooker K, Kuechler B, Kazarian A et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 10446, 1044604(2017).

    [70] Lan S, Liu J, Wang Y M et al. Deep learning assisted fast mask optimization[J]. Proceedings of SPIE, 10587, 105870H(2018).

    [71] Adam K, Neureuther A R. Algorithmic implementations of domain decomposition methods for the diffraction simulation of advanced photomasks[J]. Proceedings of SPIE, 4691, 107-124(2002).

    [72] Adam K, Neureuther A R. Methodology for accurate and rapid simulation of large arbitrary 2D layouts of advanced photomasks[J]. Proceedings of SPIE, 4562, 1051-1067(2002).

    [73] Mailfert J, Zuniga C, Philipsen V et al. 3D mask modeling for EUV lithography[J]. Proceedings of SPIE, 8322, 832224(2012).

    [74] Adam K. Modeling of electromagnetic effects from mask topography at full-chip scale[J]. Proceedings of SPIE, 5754, 498-505(2005).

    [75] Lam M C, Adam K, Fryer D et al. Accurate 3DEMF mask model for full-chip simulation[J]. Proceedings of SPIE, 8683, 86831D(2013).

    [76] Lam M, Clifford C H, Oliver M et al. Accurate, full chip 3D electromagnetic field model for non-Manhattan mask corners[J]. Proceedings of SPIE, 9426, 94260P(2015).

    [77] Erdmann A, Kalus C K, Schmoeller T et al. Efficient simulation of light diffraction from three-dimensional EUV masks using field decomposition techniques[J]. Proceedings of SPIE, 5037, 482-493(2003).

    [78] Evanschitzky P, Erdmann A. Fast near field simulation of optical and EUV masks using the waveguide method[J]. Proceedings of SPIE, 6533, 65330Y(2007).

    [79] Ma X, Zhao X J, Wang Z Q et al. Fast lithography aerial image calculation method based on machine learning[J]. Applied Optics, 56, 6485-6495(2017).

    [80] Zepka A, Aliyeva S, Kulkarni P et al. Mask modeling using a deep learning approach[J]. Proceedings of SPIE, 11148, 111480A(2019).

    [81] Lin J X, Dong L S, Fan T A et al. Fast extreme ultraviolet lithography mask near-field calculation method based on machine learning[J]. Applied Optics, 59, 2829-2838(2020).

    [82] Tanabe H, Sato S, Takahashi A. Fast 3D lithography simulation by convolutional neural network[J]. Proceedings of SPIE, 11614, 116140M(2021).

    [83] Awad A, Brendel P, Evanschitzky P et al. Accurate prediction of EUV lithographic images and 3D mask effects using generative networks[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 20, 043201(2021).

    [84] Clifford C H, Neureuther A R. Fast three-dimensional simulation of buried EUV mask defect interaction with absorber features[J]. Proceedings of SPIE, 6730, 67301S(2007).

    [85] Clifford C H, Neureuther A R. Smoothing based fast model for images of isolated buried EUV multilayer defects[J]. Proceedings of SPIE, 6921, 692119(2008).

    [86] Ko K H, Moon Y, Jeong C et al. Influence of a non-ideal sidewall angle of extreme ultra-violet mask absorber for 1×-nm patterning in isomorphic and anamorphic lithography[J]. Microelectronic Engineering, 181, 1-9(2017).

    [87] Rastegar A, House M, Kadaksham A J. Cleaning challenges of EUV mask substrates, blanks, and patterned mask[J]. ECS Transactions, 41, 139-146(2011).

    [88] Lee S, Lee J, Ban S et al. A technique for the non-destructive EUV mask sidewall angle measurement using scanning electron microscope[J]. Journal of Nanoscience and Nanotechnology, 13, 8032-8035(2013).

    [89] Jang I Y, Huh S M, Moon S Y et al. Control of the sidewall angle of an absorber stack using the Faraday cage system for the change of pattern printability in EUVL[J]. Proceedings of SPIE, 7122, 712228(2008).

    [90] Ma X, Arce G R[M]. Computational lithography(2010).

    [91] Ng P C W, Tsai K Y, Lee Y M et al. Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 013004(2011).

    [92] Sugawara M, Nishiyama I, Takai M. Mask pattern correction by energy loss compensation in extreme ultraviolet lithography[J]. Japanese Journal of Applied Physics, 44, 5467-5473(2005).

    [93] Yan P Y. Impact of EUVL mask buffer and absorber material properties on mask quality and performance[J]. Proceedings of SPIE, 4688, 150-160(2002).

    [94] Song H, Zavyalova L, Su I et al. Shadowing effect modeling and compensation for EUV lithography[J]. Proceedings of SPIE, 7969, 79691O(2011).

    [95] Besacier M, Schiavone P. Shadowing effect minimization in EUV mask by modeling[J]. Proceedings of SPIE, 5446, 849-859(2004).

    [96] Krautschik C G, Ito M, Nishiyama I et al. Impact of the EUV mask phase response on the asymmetry of Bossung curves as predicted by rigorous EUV mask simulations[J]. Proceedings of SPIE, 4343, 392-401(2001).

    [97] Erdmann A, Evanschitzky P, Mesilhy H et al. Attenuated PSM for EUV: can they mitigate 3D mask effects?[J]. Proceedings of SPIE, 10583, 1058312(2018).

    [98] Rosenbluth A E, Melville D O, Tian K H et al. Intensive optimization of masks and sources for 22 nm lithography[J]. Proceedings of SPIE, 7274, 727409(2009).

    [99] Fühner T, Erdmann A, Evanschitzky P. Simulation-based EUV source and mask optimization[J]. Proceedings of SPIE, 7122, 71221Y(2008).

    [100] Liu X F, Howell R, Hsu S et al. EUV source-mask optimization for 7 nm node and beyond[J]. Proceedings of SPIE, 9048, 90480Q(2014).

    [101] Ma X, Wang Z Q, Chen X B et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 5, 120-135(2019).

    Zinan Zhang, Sikun Li, Xiangzhao Wang. Research Progress on the Imaging of Three-Dimensional Mask for Extreme Ultraviolet Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922021
    Download Citation