• Photonics Research
  • Vol. 11, Issue 2, 143 (2023)
Jingbo Shi1、7、†,*, Ming Jin1、†, Tao Yang2, Haowen Shu1, Fenghe Yang3, Han Liu4, Yuansheng Tao1, Jiangrui Deng1, Ruixuan Chen1, Changhao Han1, Nan Qi4、5、8、*, and Xingjun Wang1、6、9、*
Author Affiliations
  • 1State Key Laboratory of Advanced Optical Communication Systems and Networks, School of Electronics, Peking University, Beijing 100871, China
  • 2College of Engineering, Peking University, Beijing 100871, China
  • 3Peking University Yangtze Delta Institute of Optoelectronics, Nantong 226010, China
  • 4State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China
  • 5Center of Material Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • 6Frontier Science Center for Nano-optoelectronics, Peking University, Beijing 100871, China
  • 7e-mail: jingboshi@pku.edu.cn
  • 8e-mail: qinan@semi.ac.cn
  • 9e-mail: xjwang@pku.edu.cn
  • show less
    DOI: 10.1364/PRJ.469556 Cite this Article Set citation alerts
    Jingbo Shi, Ming Jin, Tao Yang, Haowen Shu, Fenghe Yang, Han Liu, Yuansheng Tao, Jiangrui Deng, Ruixuan Chen, Changhao Han, Nan Qi, Xingjun Wang. 16-channel photonic–electric co-designed silicon transmitter with ultra-low power consumption[J]. Photonics Research, 2023, 11(2): 143 Copy Citation Text show less
    References

    [1] R. G. Beausoleil, M. McLaren, N. P. Jouppi. Photonic architectures for high-performance data centers. IEEE J. Sel. Top. Quantum Electron., 19, 3700109(2013).

    [2] M. A. Taubenbaltt. Optical interconnects for high-performance computing. J. Lightwave Technol., 30, 448-457(2012).

    [3] N. Savage. Linking with light high-speed optical interconnects. IEEE Spectr., 39, 32-36(2002).

    [4] C. Kachris, I. Tomkos. A survey on optical interconnects for data centers. IEEE Commun. Surv. Tut., 14, 1021-1036(2012).

    [5] K. Wang, C. Lim, E. Wong, K. Alameh, S. Kandeepan, E. Skafidas. High-speed reconfigurable free-space optical interconnects with carrierless-amplitude-phase modulation and space-time-block code. J. Lightwave Technol., 37, 627-633(2019).

    [6] Y. Shen, X. Meng, Q. Cheng, S. Rumley, N. Abrams, A. Gazman, E. Manzhosov, M. S. Glick, K. Bergman. Silicon photonics for extreme scale systems. J. Lightwave Technol., 37, 245-259(2019).

    [7] T. Alexoudi, N. Terzenidis, S. Pitris, M. M. Pegios, P. Maniotis, C. Vagionas, C. Mitsolidou, G. M. Alexandris, G. T. Kanellos, A. Miliou, K. Vyrsokinos, N. Pleros. Optics in computing: from photonic network-on-chip to chip-to-chip interconnects and disintegrated architectures. J. Lightwave Technol., 37, 363-379(2019).

    [8] K. Bergman. Photonic networks for intra-chip, inter-chip, and box-to-box interconnects in high performance computing. European Conference on Optical Communication, Tu1.2.1(2006).

    [9] D. A. B. Miller. Rationale and challenges for optical interconnects to electronic chips. Proc. IEEE, 88, 728-749(2000).

    [10] A. F. Benner, M. Ignatowski, J. A. Kash, D. M. Kuchta, M. B. Ritter. “Exploitation of optical interconnects in future server architectures. IBM J. Res. Dev., 49, 755-775(2005).

    [11] L. Schares, J. A. Kash, F. E. Doany. Terabus: terabit/second-class card-level optical interconnect technologies. IEEE J. Sel. Topics Quantum Electron., 12, 1032-1044(2006).

    [12] M. J. R. Heck, H. Chen, A. W. Fang, B. R. Koch, D. Liang, H. Park, M. N. Sysak, J. E. Bowers. Hybrid silicon photonics for optical interconnects. IEEE J. Sel. Topics Quantum Electron., 17, 333-346(2011).

    [13] H. Subbaraman, X. Xu, A. Hosseini, X. Zhang, Y. Zhang, D. Kwong, R. T. Chen. Recent advances in silicon-based passive and active optical interconnects. Opt. Express, 23, 2487-2511(2015).

    [14] D. Dai, J. E. Bowers. Silicon-based on-chip multiplexing technologies and devices for Peta-bit optical interconnects. Nanophotonics, 3, 283-311(2014).

    [15] N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, D. H. Albonesi. Leveraging optical technology in future bus-based chip multiprocessors. 39th Annual IEEE/ACM International Symposium on Microarchitecture, 492-503(2006).

    [16] C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. W. Holzwarth, M. A. Popovic, H. Li, H. I. Smith, J. L. Hoyt, F. X. Kartner, R. J. Ram, V. Stojanovic, K. Asanovic. Building many-core processor-to-DRAM networks with monolithic CMOS silicon photonics. IEEE Micro, 29, 8-21(2009).

    [17] R. G. Beausoleil. Large-scale integrated photonics for high-performance interconnects. ACM J. Emerg. Technol. Comput. Syst., 7, 1-54(2011).

    [18] M. A. Taubenblatt. Optical interconnects for high-performance computing. J. Lightwave Technol., 30, 448-457(2012).

    [19] R. Beausoleil, J. Ahn, N. Binkert, A. Davis, D. Fattal, M. Fiorentino, N. Jouppi, M. McLaren, C. Santori, R. Schreiber, S. Spillane, D. Vantrease, Q. Xu. A nanophotonic interconnect for high-performance many-core computation. 16th IEEE Symposium on High-Performance Interconnects, 182-189(2008).

    [20] C. Minkenberg, N. Farrington, A. Zilkie, D. Nelson, C. Lai, D. Brunina, J. Byrd, B. Chowdhuri, N. Kucharewski, K. Muth, A. Nagra, G. Rodriguez, D. Rubi, T. Schrans, P. Srinivasan, Y. Wang, C. Yeh, A. Rickman. Reimagining datacenter topologies with integrated silicon photonics. J. Opt. Commun. Netw., 10, B126-B139(2018).

    [21] R. Meade, S. Ardalan, M. Davenport, J. Fini, C. Sun, M. Wade, A. Gladstein, C. Zhang. TeraPHY: a high-density electronic-photonic chiplet for optical I/O from a multi-chip module. Optical Fiber Communications Conference and Exhibition (OFC), M4D.7(2019).

    [22] D. Kuchta, J. Proesel, F. Doany, W. Lee, T. Dickson, H. Ainspan, M. Meghelli, P. Pepeljugoski, X. Gu, M. Beakes, M. Schultz, M. Taubenblatt, P. Fortier, C. Dufort, E. Turcotte, M.-O. Pion, C. Bureau, F. Flens, G. Light, B. Trekell, K. Koski. Multi-wavelength optical transceivers integrated on node (MOTION). Optical Fiber Communications Conference and Exhibition (OFC), M4D.6(2019).

    [23] G. Denoyer, A. Chen, B. Park, Y. Zhou, A. Santipo, R. Russo. Hybrid silicon photonic circuits and transceiver for 56  Gb/s NRZ 2.2 km transmission over single mode fiber. European Conference on Optical Communication (ECOC), 1-3(2014).

    [24] Y. Ma, C. Williams, M. Ahmed, A. Elmoznine, D. Lim, Y. Liu, R. Shi, T. Huynh, J. Roman, A. Ahmed, L. Vera, Y. Chen, A. Horth, H. Guan, K. Padmaraju, M. Streshinsky, A. Novack, R. Sukkar, R. Younce, A. Rylyakov, D. Scordo, M. Hochberg. An all-silicon transmitter with co-designed modulator and DC-coupled driver. Optical Fiber Communication Conference (OFC), Tu2A.2(2019).

    [25] H. Li, G. Balamurugan, M. Sakib, J. Sun, J. Driscoll, R. Kumar, H. Jayatilleka, H. Rong, J. Jaussi, B. Casper. A 112  Gb/s PAM4 silicon photonics transmitter with microring modulator and CMOS driver. J. Lightwave Technol., 38, 131-138(2020).

    [26] H. Li, G. Balamurugan, M. Sakib, R. Kumar, H. Jayatilleka, H. Rong, J. Jaussi, B. Casper. A 3D-integrated microring-based 112  Gb/s PAM-4 silicon-photonic transmitter with integrated nonlinear equalization and thermal control. IEEE International Solid- State Circuits Conference (ISSCC), 208-210(2020).

    [27] H. Zhang, M. Li, Y. Zhang, D. Zhang, Q. Liao, J. He, S. Hu, B. Zhang, L. Wang, X. Xiao, N. Qi, S. Yu. 800  Gbit/s transmission over 1  km single-mode fiber using a four-channel silicon photonic transmitter. Photon. Res., 8, 1776-1782(2020).

    [28] Q. Liao, N. Qi, M. Li, S. Hu, J. He, B. Yin, J. Shi, J. Liu, P. Y. Chiang, X. Xiao, N. Wu. A 50-Gb/s PAM4 Si-photonic transmitter with digital-assisted distributed driver and integrated CDR in 40  nm CMOS. IEEE J. Solid-State Circuits, 55, 1282-1296(2020).

    [29] K. Li, S. Liu, D. Thomson, W. Zhang, X. Yan, F. Meng, C. Littlejohns, H. Du, M. Banakar, M. Ebert, W. Cao, D. Tran, B. Chen, A. Shakoor, P. Petropoulos. Electronic–photonic convergence for silicon photonics transmitters beyond 100  Gbps on–off keying. Optica, 7, 1514-1516(2020).

    [30] E. Temporiti, G. Minoia, M. Repossi, D. Baldi, A. Ghilioni, F. Svelto. A 56  Gb/s 300  mW silicon-photonics transmitter in 3D-integrated PIC25G and 55    nm BiCMOS technologies. IEEE International Solid-State Circuits Conference (ISSCC), 404-405(2016).

    [31] L. Chen, C. Doerr, P. Dong, Y. Chen. Monolithic silicon chip with 10  modulator channels at 25  Gbps and 100-GHz spacing. Opt. Express, 19, B946-B951(2011).

    [32] K. Li, D. Thomson, S. Liu, F. Meng, A. Shakoor, A. Khokhar, W. Cao, W. Zhang, P. Wilson, G. Reed. Co-design of electronics and photonics components for silicon photonics transmitters. European Conference on Optical Communication (ECOC), 1-3(2018).

    [33] R. Ashok, S. Naaz, R. Kamran, S. Gupta. Analog domain carrier phase synchronization in coherent homodyne data center interconnects. J. Lightwave Technol., 39, 6204-6214(2021).

    [34] A. Rizzo, A. Novick, V. Gopal, B. Kim, X. Ji, S. Daudlin, Y. Okawachi, Q. Cheng, M. Lipson, A. Gaeta, K. Bergman. Integrated Kerr frequency comb-driven silicon photonic transmitter. arXiv(2021).

    [35] H. Shu, L. Chang, Y. Tao, B. Shen, W. Xie, M. Jin, A. Netherton, Z. Tao, X. Zhang, R. Chen, B. Bai, J. Qin, S. Yu, X. Wang, J. Bowers. Microcomb-driven silicon photonic systems. Nature, 605, 457-463(2022).

    [36] Y. Tao, H. Shu, X. Wang, M. Jin, Z. Tao, F. Yang, J. Shi, J. Qin. Hybrid-integrated high-performance microwave photonic filter with switchable response. Photon. Res., 9, 1569-1580(2021).

    [37] E. Sentieri, T. Copani, A. Paganini, M. Traldi, A. Palladino, A. Santipo, L. Gerosa, M. Repossi, G. Catrini, M. Campo, F. Radice, A. Diodato, R. Pelleriti, D. Baldi, L. Tarantini, L. Maggi, G. Radaelli, S. Cervini, F. Clerici, A. Moroni. A 4-channel 200 Gb/s PAM-4 BiCMOS transceiver with silicon photonics front-ends for gigabit ethernet applications. IEEE International Solid-State Circuits Conference (ISSCC), 210-212(2020).

    [38] M. Cignoli, G. Minoia, M. Repossi, D. Baldi, A. Ghilioni, E. Temporiti, F. Svelto. A 1310  nm 3D-integrated silicon photonics Mach-Zehnder-based transmitter with 275  mW multistage CMOS driver achieving 6  dB extinction ratio at 25  Gb/s. IEEE International Solid-State Circuits Conference (ISSCC), 416-417(2015).

    [39] E. Temporiti, G. Minoia, M. Repossi, D. Baldi, A. Ghilioni, F. Svelto. A 56  Gb/s 300  mW silicon-photonics transmitter in 3D-integrated PIC25G and 55  nm BiCMOS technologies. IEEE International Solid-State Circuits Conference (ISSCC), 404-405(2016).

    [40] G. Denoyer, C. Cole, A. Santipo, R. Russo, C. Robinson, L. Li, Y. Zhou, J. Chen, B. Park, F. Boeuf, S. Cremer, N. Vulliet. Hybrid silicon photonic circuits and transceiver for 50  Gb/s NRZ transmission over single-mode fiber. J. Lightwave Technology., 33, 1247-1254(2015).

    [41] C. Li, K. Yu, J. Rhim, K. Zhu, N. Qi, M. Fiorentino, T. Pinguet, M. Peterson, V. Saxena, S. Palermo. A 3D-integrated 56  Gb/s NRZ/PAM4 reconfigurable segmented Mach-Zehnder modulator-based Si-photonics transmitter. IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS), 32-35(2018).

    [42] S. Kanazawa, T. Fujisawa, K. Takahata, Y. Ueda, H. Ishii, R. Iga, W. Kobayashi, H. Sanjoh. Flip-chip interconnection technique for beyond 100  Gb/s (4 × 25.8  Gb/s) EADFB laser array transmitter. J. Lightwave Technol., 34, 296-302(2016).

    Jingbo Shi, Ming Jin, Tao Yang, Haowen Shu, Fenghe Yang, Han Liu, Yuansheng Tao, Jiangrui Deng, Ruixuan Chen, Changhao Han, Nan Qi, Xingjun Wang. 16-channel photonic–electric co-designed silicon transmitter with ultra-low power consumption[J]. Photonics Research, 2023, 11(2): 143
    Download Citation