[3] Orji N G, Badaroglu M, Barnes B M et al. Metrology for the next generation of semiconductor devices[J]. Nature Electronics, 1, 532-547(2018).
[4] Vikram A, Lin K, Camp J et al. Inspection of high-aspect ratio layers at sub 20 nm node[J]. Proceedings of SPIE, 8681, 86811Q(2013).
[5] Ma Z Y, Seiler D G[M]. Metrology and diagnostic techniques for nanoelectronics(2017).
[6] Broadbent W, Yokoyama I, Yu P et al. Field results from a new die-to-database reticle inspection platform[J]. Proceedings of SPIE, 6607, 660714(2007).
[7] Liu C, Xu S, Liu Y F et al. Aperture design for a dark-field wafer defect inspection system[J]. Applied Optics, 60, 10830-10837(2021).
[8] Purandare S, Zhu J L, Zhou R J et al. Optical inspection of nanoscale structures using a novel machine learning based synthetic image generation algorithm[J]. Optics Express, 27, 17743-17762(2019).
[9] Pan B Y, Yang Y, Bian J et al. Quantum dot decorated nano-pyramid fiber tip for scanning near-field optical microscopy[J]. Optics Communications, 445, 273-276(2019).
[10] Bek A, Vogelgesang R, Kern K. Apertureless scanning near field optical microscope with sub-10 nm resolution[J]. Review of Scientific Instruments, 77, 043703(2006).
[11] Liu Y, Liu J, Dai H et al. Photo-aging evaluation - in vitro biological endpoints combined with collagen density assessment with multi-photon microscopy[J]. Journal of Dermatological Science, 105, 37-44(2022).
[12] Faria A R, Silvestre O F, Maibohm C et al. Cubosome nanoparticles for enhanced delivery of mitochondria anticancer drug elesclomol and therapeutic monitoring via sub-cellular NAD(P)H multi-photon fluorescence lifetime imaging[J]. Nano Research, 12, 991-998(2019).
[13] Li Y, Yang J, Pan Z et al. Nanoscale pore structure and mechanical property analysis of coal: an insight combining AFM and SEM images[J]. Fuel, 260, 116352(2020).
[14] Jiao F, Cannon K S, Lin Y C et al. The hierarchical assembly of septins revealed by high-speed AFM[J]. Nature Communications, 11, 5062(2020).
[15] Madsen J, Liu P, Kling J et al. A deep learning approach to identify local structures in atomic-resolution transmission electron microscopy images[J]. Advanced Theory and Simulations, 1, 1800037(2018).
[16] Zhang D L, Zhu Y H, Liu L M et al. Atomic-resolution transmission electron microscopy of electron beam-sensitive crystalline materials[J]. Science, 359, 675-679(2018).
[17] Iida S, Nagai T, Uchiyama T. Standard wafer with programed defects to evaluate the pattern inspection tools for 300-mm wafer fabrication for 7-nm node and beyond[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 023505(2019).
[18] Kolenov D, Pereira S F. Machine learning techniques applied for the detection of nanoparticles on surfaces using coherent Fourier scatterometry[J]. Optics Express, 28, 19163-19186(2020).
[19] Solecky E, Patterson O D, Stamper A et al. In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection[J]. Proceedings of SPIE, 8681, 86810D(2013).
[20] Mukhtar M[M]. Assessing a multi-electron beam application approach for semiconductor process metrology(2018).
[21] Sentenac A, Chaumet P C, Belkebir K. Beyond the Rayleigh criterion: grating assisted far-field optical diffraction tomography[J]. Physical Review Letters, 97, 243901(2006).
[22] Ohkubo A, Lee J, Kim H et al. Signal-to-noise ratio evaluation for the nanometer-scale patterned defect inspection using dark-field microscopy with tailored polarization illumination[J]. Proceedings of SPIE, 11485, 114850N(2020).
[23] Miles R B, Lempert W R, Forkey J N. Laser Rayleigh scattering[J]. Measurement Science and Technology, 12, R33-R51(2001).
[24] Bohren C F, Huffman D R. Absorption and scattering by an arbitrary particle[M]. Absorption and scattering of light by small particles, 57-81(2007).
[25] Crimmins T. Wafer noise models for defect inspection[J]. Proceedings of SPIE, 7971, 79710E(2011).
[26] Iida S, Nagai T, Uchiyama T. Development of standard samples with programmed defects for evaluation of pattern inspection tools[J]. Proceedings of SPIE, 10959, 109590J(2019).
[27] Lim K, Choi S, Cho W et al. An analysis of correlation between scanning direction and defect detection at ultra high resolution[J]. Proceedings of SPIE, 7823, 782334(2010).
[28] You Y M, Du C L, Ma Y et al. Effect of near-field coupling on far-field inelastic scattering imaging of gold nanoparticles[J]. Nanotechnology, 19, 395705(2008).
[29] Golani O, Dolev I, Pond J et al. Simulating semiconductor structures for next-generation optical inspection technologies[J]. Optical Engineering, 55, 025102(2016).
[30] Zhu Z R, Swecker A L, Strojwas A J. METRO-3D: an efficient three-dimensional wafer inspection simulator for next-generation lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 17, 619-628(2004).
[31] Rommelfanger N J, Ou Z H, Keck C H C et al. Differential heating of metal nanostructures at radio frequencies[J]. Physical Review Applied, 15, 054007(2021).
[32] Mayergoyz I D, Fredkin D R, Zhang Z Y. Electrostatic (plasmon) resonances in nanoparticles[J]. Physical Review B, 72, 155412(2005).
[33] Park S W, Park G, Kim Y et al. Through-focus scanning optical microscopy with the Fourier modal method[J]. Optics Express, 26, 11649-11657(2018).
[34] Arceo A, Bunday B, Vartanian V et al. Patterned defect and CD metrology by TSOM beyond the 22-nm node[J]. Proceedings of SPIE, 8324, 83240E(2012).
[35] Attota R, Silver R. Nanometrology using a through-focus scanning optical microscopy method[J]. Measurement Science and Technology, 22, 024002(2011).
[36] Attota R, Kramar J. Optimizing noise for defect analysis with through-focus scanning optical microscopy[J]. Proceedings of SPIE, 9778, 977811(2016).
[37] Ishii R, Funato M, Kawakami Y et al. Pushing the limits of deep-ultraviolet scanning near-field optical microscopy[J]. APL Photonics, 4, 070801(2019).
[38] Henn M A, Zhou H, Silver R M et al. Applications of machine learning at the limits of form-dependent scattering for defect metrology[J]. Proceedings of SPIE, 10959, 109590Z(2019).
[39] Manser R. EUV microscopy: a unique approach for materials characterization[J]. PhotonicsViews, 16, 42-45(2019).
[40] Hunsche S, Jochemsen M, Jain V et al. A new paradigm for in-line detection and control of patterning defects[J]. Proceedings of SPIE, 9424, 94241B(2015).
[41] Hashimoto K, Usui S, Yoshida K et al. Hot spot management with die-to-database wafer inspection system[J]. Proceedings of SPIE, 6925, 692517(2008).
[42] Buengener R. Defect inspection strategies for 14 nm semiconductor technology[J]. Proceedings of SPIE, 8466, 846607(2012).
[43] Wong A K[M]. Optical Imaging in Projection Microlithography(2005).
[44] Hopkins H. On the diffraction theory of optical images[J]. Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences, 217, 408-432(1953).
[45] Peng D P, Hu P, Tolani V et al. Toward a consistent and accurate approach to modeling projection optics[J]. Proceedings of SPIE, 7640, 76402Y(2010).
[46] Chen G D, Zhang Z N, Li S K et al. Study on deep ultraviolet computational lithography techniques[J]. Laser & Optoelectronics Progress, 59, 0922007(2022).
[47] Shi W J, Yu Z Q, Jiang J H et al. Computational lithography technology under chip manufacture context[J]. Laser & Optoelectronics Progress, 59, 0922001(2022).
[48] Yuan M, Sun Y Y, Li Y Q. Advanced computational lithography[J]. Laser & Optoelectronics Progress, 59, 0922009(2022).
[49] Ma X, Zhang S G, Pan Y H et al[J]. Laser & Optoelectronics Progress, 59, 0922008(2022).
[50] Dong Z Q, Liu S Y, Chen X G et al. Optimization of measurement configuration in optical scatterometry for one-dimensional nanostructures based on sensitivity analysis[J]. Journal of Infrared and Millimeter Waves, 35, 116-122(2016).
[51] Dong Z Q, Liu S, Chen X et al. Determination of an optimal measurement configuration in optical scatterometry using global sensitivity analysis[J]. Thin Solid Films, 562, 16-23(2014).
[52] Lee B H, Chin S B, Cho D H et al. Optical characterization of defects on patterned wafers: exploring light polarization[J]. Proceedings of SPIE, 5375, 849-858(2004).
[53] Lee B H, Ihm D C, Yeo J H et al. Polarization control for enhanced defect detection on advanced memory devices[J]. Proceedings of SPIE, 6152, 61521Q(2006).
[54] Yang Y, Jeong Y, Numata M et al. A study of the defect detection technology using the optic simulation for the semiconductor device[J]. Proceedings of SPIE, 8880, 88801S(2013).
[55] Fujii T, Konno Y, Okada N et al. Development of optical simulation tool for defect inspection[J]. Proceedings of SPIE, 7272, 72721A(2009).
[56] Barnes B M, Quinthanilha R, Sohn Y J et al. Optical illumination optimization for patterned defect inspection[J]. Proceedings of SPIE, 7971, 79710D(2011).
[57] Barnes B M, Goasmat F, Sohn M Y et al. Enhancing 9 nm node dense patterned defect optical inspection using polarization, angle, and focus[J]. Proceedings of SPIE, 8681, 86810E(2013).
[58] Barnes B M, Goasmat F, Sohn M Y et al. Effects of wafer noise on the detection of 20-nm defects using optical volumetric inspection[J]. Journal of Micro/ Nanolithography, MEMS, and MOEMS, 14, 014001(2015).
[59] Barnes B M, Henn M A, Sohn M Y et al. Assessing form-dependent optical scattering at vacuum- and extreme-ultraviolet wavelengths of nanostructures with two-dimensional periodicity[J]. Physical Review Applied, 11, 064056(2019).
[60] Wang Y G, Neureuther A, Naulleau P. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study[J]. Proceedings of SPIE, 9776, 97761D(2015).
[61] Wang Y G, Neureuther A R, Naulleau P P. Impact of noise sources and optical design on defect detection sensitivity in extreme ultraviolet actinic pattern inspection tool[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 013504(2017).
[62] Wang Y G, Neureuther A R, Naulleau P P. Impact of tool design on defect detection sensitivity in extreme ultraviolet actinic blank inspection[J]. Journal of Micro/ Nanolithography, MEMS, and MOEMS, 16, 023502(2017).
[63] Mochi I, Helfenstein P, Rajeev R et al. Actinic inspection of EUV reticles with arbitrary pattern design[J]. Proceedings of SPIE, 10450, 1045007(2017).
[64] Fernandez S, Kazazis D, Rajeev R et al. A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks[J]. Proceedings of SPIE, 10583, 105831H(2018).
[65] Zhu J L, Lin J M, Xu T L et al. Optical wafer defect inspection at the 10 nm technology node and beyond[J]. International Journal of Extreme Manufacturing, 4, 032001(2022).
[66] Mishchenko M I, Travis L D, Lacis A A[M]. Scattering, absorption, and emission of light by small particles(2002).
[67] van de Hulst H C[M]. Light scattering by small particles(1981).
[68] Crimmins T F. Defect metrology challenges at the 11-nm node and beyond[J]. Proceedings of SPIE, 7638, 76380H(2010).
[69] Born M, Wolf E[M]. Principles of optics: electromagnetic theory of propagation, interference and diffraction of light(1999).
[70] Palik E D[M]. Handbook of optical constants of solids(1997).
[71] Pierce D T, Spicer W E. Electronic structure of amorphous Si from photoemission and optical studies[J]. Physical Review B, 5, 3017-3029(1972).
[72] Virk K S. Fast computation of scattering by isolated defects in periodic dielectric media[J]. Journal of the Optical Society of America B, 38, 1763-1775(2021).
[73] Meshulach D, Dolev I, Yamazaki Y et al. Advanced lithography: wafer defect scattering analysis at DUV[J]. Proceedings of SPIE, 7638, 76380K(2010).
[74] Sohn Y J, Quintanilha R, Barnes B M et al. 193 nm angle-resolved scatterfield microscope for semiconductor metrology[J]. Proceedings of SPIE, 7405, 74050R(2009).
[75] Barnes B M, Sohn M Y, Goasmat F et al. Three-dimensional deep sub-wavelength defect detection using λ=193 nm optical microscopy[J]. Optics Express, 21, 26219-26226(2013).
[76] Silver R M, Barnes B M, Sohn Y et al. The limits and extensibility of optical patterned defect inspection[J]. Proceedings of SPIE, 7638, 76380J(2010).
[77] Ito C, Durant S, Lange S et al. Inspection of directed self-assembly defects[J]. Proceedings of SPIE, 9049, 90492D(2014).
[78] Barnes B M, Sohn Y J, Goasmat F et al. Scatterfield microscopy of 22-nm node patterned defects using visible and DUV light[J]. Proceedings of SPIE, 8324, 83240F(2012).
[79] Guo V W, Jiang F, Tritchkov A et al. SRAF requirements, relevance, and impact on EUV lithography for next-generation beyond 7 nm node[J]. Proceedings of SPIE, 10583, 105830N(2018).
[80] Fujiwara H[M]. Spectroscopic ellipsometry: principles and applications(2007).
[81] Fox M[M]. Optical properties of solids(2010).
[82] Altamirano M, Skumanich A. Enhanced defect detection capability using combined brightfield/darkfield imaging[J]. Proceedings of SPIE, 3509, 60-64(1998).
[83] McMackin I, Perez J, Selinidis K et al. High resolution defect inspection of step and flash imprint lithography for 32 nm half-pitch patterning[J]. Proceedings of SPIE, 6921, 477-488(2008).
[84] Liu D, Wang S, Cao P et al. Dark-field microscopic image stitching method for surface defects evaluation of large fin optics[J]. Optics Express, 21, 5974-5987(2013).
[85] Tian M, Zhang Y, Guan T et al. Critical defect detection, monitoring and fix through process integration engineering by using D2DB pattern monitor solution[J]. Proceedings of SPIE, 10962, 109620L(2019).
[86] Nakazawa T, Kulkarni D V. Wafer map defect pattern classification and image retrieval using convolutional neural network[J]. IEEE Transactions on Semiconductor Manufacturing, 31, 309-314(2018).
[87] Leray P, Halder S, Lorenzo P D et al. Study of design-based e-beam defect inspection for hotspot detection and process window characterization on 10 nm logic device[J]. Proceedings of SPIE, 9778, 97780O(2016).
[88] Kitamura T, Hasebe T, Kubota K et al. Die-to-Database verification tool for detection cd errors, which are caused by opc features, by using mass gate measurement and layout information[J]. Proceedings of SPIE, 6518, 651834(2007).
[89] Ma E, Chou K, Liu X D et al. Multiple beam technology development and application for defect inspection on EUV wafer/mask[J]. Proceedings of SPIE, 10810, 1081014(2018).
[90] Goodman J W[M]. Introduction to Fourier optics(1996).
[91] Çapoğlu İ R, Rogers J D, Taflove A et al. The microscope in a computer: image synthesis from three-dimensional full-vector solutions of Maxwell’s equations at the nanometer scale[M]. Progress in optics, 1-91(2012).
[92] Peng D P, Li Y, Satake M et al. AIMS D2DB simulation for DUV and EUV mask inspection[J]. Proceedings of SPIE, 8352, 835209(2012).
[93] Yoshioka T, Miyoshi T, Takaya Y. Particle detection for patterned wafers of 100 nm design rule by evanescent light illumination: analysis of evanescent light scattering using finite-difference time-domain (FDTD) method[J]. Proceedings of SPIE, 6049, 604909(2005).
[94] Swecker A L, Strojwas A J, Levy A et al. Characterization of defect detection schemes using rigorous 3D EM field simulation[J]. Proceedings of SPIE, 3050, 313-321(1997).
[95] Iida S, Nagai T, Uchiyama T. Development of standard samples with programmed defects for evaluation of pattern inspection tools for 7-nm and smaller nodes[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 033503(2019).
[96] Lauper M, Fromme P, Robyr J L et al. Silicon wafer defect detection using high frequency guided waves[J]. Proceedings of SPIE, 10600, 106000G(2018).
[97] Zhao Q, Yang H, Nie B B et al. Wafer-scale and cost-effective manufacturing of controllable nanogap arrays for highly sensitive SERS sensing[J]. ACS Applied Materials & Interfaces, 14, 3580-3590(2022).
[98] Wang C, Chen X G, Chen C et al. Reconstruction of finite deep sub-wavelength nanostructures by Mueller-matrix scattered-field microscopy[J]. Optics Express, 29, 32158-32168(2021).
[99] Yang S, Taflove A, Backman V. Experimental confirmation at visible light wavelengths of the backscattering enhancement phenomenon of the photonic nanojet[J]. Optics Express, 19, 7084-7093(2011).
[100] Feng X B, Su R, Happonen T et al. Fast and cost-effective in-process defect inspection for printed electronics based on coherent optical processing[J]. Optics Express, 26, 13927-13937(2018).
[101] Zhou R J, Edwards C, Popescu G et al. 9 nm node wafer defect inspection using visible light[J]. Proceedings of SPIE, 9050, 905017(2014).
[102] Xu J M, Liu Y, Wu Y L. Automatic defect inspection for monocrystalline solar cell interior by electroluminescence image self-comparison method[J]. IEEE Transactions on Instrumentation and Measurement, 70, 5016011(2021).
[103] Zhou R J, Edwards C, Popescu G et al. Semiconductor defect metrology using laser-based quantitative phase imaging[J]. Proceedings of SPIE, 9336, 93361I(2015).
[104] Schmalfuss H, Schulmeyer T, Heumann J et al. Sensitivity comparison of fast integrated die-to-die T+R pattern inspection, standard database inspection, and STARlight2 contamination mode for application in mask production[J]. Proceedings of SPIE, 6730, 673025(2007).
[105] Attota R, Germer T A, Silver R M. Through-focus scanning-optical-microscope imaging method for nanoscale dimensional analysis[J]. Optics Letters, 33, 1990-1992(2008).
[106] Noguez C. Surface plasmons on metal nanoparticles: the influence of shape and physical environment[J]. The Journal of Physical Chemistry C, 111, 3806-3819(2007).
[107] Gozhenko V V, Grechko L G, Whites K W. Electrodynamics of spatial clusters of spheres: substrate effects[J]. Physical Review B, 68, 125422(2003).
[108] Ryabko M, Shchekin A, Koptyaev S et al. Through-focus scanning optical microscopy (TSOM) considering optical aberrations: practical implementation[J]. Optics Express, 23, 32215-32221(2015).
[109] Peng R, Qu Y, Hao J et al. Multiple parametric nanoscale measurements with high sensitivity based on through-focus scanning optical microscopy[J]. Journal of Microscopy, 274, 139-149(2019).
[110] Ryabko M V, Koptyaev S N, Shcherbakov A V et al. Method for optical inspection of nanoscale objects based upon analysis of their defocused images and features of its practical implementation[J]. Optics Express, 21, 24483-24489(2013).
[111] Attota R K, Kang H. Parameter optimization for through-focus scanning optical microscopy[J]. Optics Express, 24, 14915-14924(2016).
[112] Attota R K. Through-focus scanning optical microscopy applications[J]. Proceedings of SPIE, 10677, 106770R(2018).
[113] Lee J H, Na S, Jeong J et al. Comparative near infrared through-focus scanning optical microscopy for 3D memory subsurface defect detection and classification[J]. Proceedings of SPIE, 11611, 116110T(2021).
[114] Joo J Y, Lee J H, Jang W H et al. Defect height estimation via model-less TSOM under optical resolution[J]. Optics Express, 29, 27508-27520(2021).
[115] Lee J H, You B G, Park S W et al. Motion-free TSOM using a deformable mirror[J]. Optics Express, 28, 16352-16362(2020).
[116] Ren H W, Wu S T. Variable-focus liquid lens by changing aperture[J]. Applied Physics Letters, 86, 211107(2005).
[117] Attota R. Through-focus or volumetric type of optical imaging methods: a review[J]. Journal of Biomedical Optics, 23, 070901(2018).
[118] Mishchenko M I. Gustav Mie and the fundamental concept of electromagnetic scattering by particles: a perspective[J]. Journal of Quantitative Spectroscopy and Radiative Transfer, 110, 1210-1222(2009).
[119] Li X C, Min X, Liu D D. Rayleigh approximation for the scattering of small partially charged sand particles[J]. Journal of the Optical Society of America. A, Optics, Image Science, and Vision, 31, 1495-1501(2014).
[120] Tompkins H G, Irene E A[M]. Handbook of ellipsometry(2005).
[121] Pham H V, Bhaduri B, Tangella K et al. Real time blood testing using quantitative phase imaging[J]. PLoS One, 8, e55676(2013).
[122] Okamoto R, Tahara T. Precision limit for simultaneous phase and transmittance estimation with phase-shifting interferometry[J]. Physical Review A, 104, 033521(2021).
[123] Ishikawa K, Tanigawa R, Yatabe K et al. Simultaneous imaging of flow and sound using high-speed parallel phase-shifting interferometry[J]. Optics Letters, 43, 991-994(2018).
[124] Tahara T, Quan X Y, Otani R et al. Digital holography and its multidimensional imaging applications: a review[J]. Microscopy, 67, 55-67(2018).
[125] Javidi B, Carnicer A, Anand A et al. Roadmap on digital holography[J]. Optics Express, 29, 35078-35118(2021).
[126] Trivedi V, Joglekar M, Mahajan S et al. Digital holographic imaging of refractive index distributions for defect detection[J]. Optics & Laser Technology, 111, 439-446(2019).
[127] Lai G M, Yatagai T. Generalized phase-shifting interferometry[J]. Journal of the Optical Society of America A, 8, 822-827(1991).
[128] Popescu G, Ikeda T, Dasari R R et al. Diffraction phase microscopy for quantifying cell structure and dynamics[J]. Optics Letters, 31, 775-777(2006).
[129] Curl C L, Bellair C J, Harris P J et al. Quantitative phase microscopy: a new tool for investigating the structure and function of unstained live cells[J]. Clinical and experimental pharmacology and physiology, 31, 896-901(2004).
[130] Zhou R J, Edwards C, Arbabi A et al. Detecting 20 nm wide defects in large area nanopatterns using optical interferometric microscopy[J]. Nano Letters, 13, 3716-3721(2013).
[131] Edwards C, Arbabi A, Popescu G et al. Optically monitoring and controlling nanoscale topography during semiconductor etching[J]. Light: Science & Applications, 1, e30(2012).
[132] Edwards C, Zhou R J, Hwang S W et al. Diffraction phase microscopy: monitoring nanoscale dynamics in materials science[J]. Applied Optics, 53, G33-G43(2014).
[133] Zhou R J, Edwards C, Popescu G et al. Diffraction phase microscopy for wafer inspection[C], 644-645(2012).
[134] Zhou R J, Popescu G, Goddard L L. 22 nm node wafer inspection using diffraction phase microscopy and image post-processing[J]. Proceedings of SPIE, 8681, 86810G(2013).
[135] Zhou R J, Edwards C, Bryniarski C A et al. 9 nm node wafer defect inspection using three-dimensional scanning, a 405 nm diode laser, and a broadband source[J]. Proceedings of SPIE, 9424, 942416(2015).
[136] Pham H, Bhaduri B, Ding H F et al. Spectroscopic diffraction phase microscopy[J]. Optics Letters, 37, 3438-3440(2012).
[137] Zhu J L, Liu Y N, Yu X et al. Sensing sub-10 nm wide perturbations in background nanopatterns using optical pseudoelectrodynamics microscopy (OPEM)[J]. Nano Letters, 19, 5347-5355(2019).
[138] Goddard L L. Detecting nanoscale perturbations using new forms of optical microscopy[J]. Proceedings of SPIE, 11783, 1178303(2021).
[139] Zuo C, Chen Q, Qu W J et al. High-speed transport-of-intensity phase microscopy with an electrically tunable lens[J]. Optics Express, 21, 24060-24075(2013).
[140] Zhu J L, Zhou R J, Zhang L N et al. Regularized pseudo-phase imaging for inspecting and sensing nanoscale features[J]. Optics Express, 27, 6719-6733(2019).
[141] Roichman Y, Sun B, Roichman Y et al. Optical forces arising from phase gradients[J]. Physical Review Letters, 100, 013602(2008).
[142] Young G, Kukura P. Interferometric scattering microscopy[J]. Annual Review of Physical Chemistry, 70, 301-322(2019).
[143] Patel D, Hanrahan J, Lim K et al. Defect metrology challenges for the 45-nm technology node and beyond[J]. Proceedings of SPIE, 6152, 615207(2006).
[144] Harada M, Minekawa Y, Nakamae K. Defect detection techniques robust to process variation in semiconductor inspection[J]. Measurement Science and Technology, 30, 035402(2019).
[145] Zhu J L, Liu S Y, Jiang H et al. Improved deep-etched multilayer grating reconstruction by considering etching anisotropy and abnormal errors in optical scatterometry[J]. Optics Letters, 40, 471-474(2015).
[146] Zhu J L, Liu S Y, Chen X G et al. Robust solution to the inverse problem in optical scatterometry[J]. Optics Express, 22, 22031-22042(2014).
[147] Dong Z Q, Gu H G, Zhu J L et al. Nonuniform depolarization properties of typical nanostructures and potential applications[J]. Optics Letters, 45, 1910-1913(2020).
[148] Dong Z Q, Chen X G, Wang X Z et al. Dependence-analysis-based data-refinement in optical scatterometry for fast nanostructure reconstruction[J]. Applied Sciences, 9, 4091(2019).
[149] Zhu J L, Shi Y T, Goddard L L et al. Application of measurement configuration optimization for accurate metrology of sub-wavelength dimensions in multilayer gratings using optical scatterometry[J]. Applied Optics, 55, 6844-6849(2016).
[150] Zhu J L, Jiang H, Shi Y T et al. Improved nanostructure reconstruction by performing data refinement in optical scatterometry[J]. Journal of Optics, 18, 015605(2016).
[151] Chen X G, Du W C, Yuan K et al. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology[J]. Review of Scientific Instruments, 87, 053707(2016).
[152] Wang C, Chen X G, Gu H G et al. On the limits of low-numerical-aperture imaging scatterometry[J]. Optics Express, 28, 8445-8462(2020).
[153] Chen C, Chen X G, Gu H G et al. Calibration of polarization effect of a high-numerical-aperture objective lens with Mueller matrix polarimetry[J]. Measurement Science and Technology, 30, 025201(2019).
[154] Chen C, Chen X G, Wang C et al. Imaging Mueller matrix ellipsometry with sub-micron resolution based on back focal plane scanning[J]. Optics Express, 29, 32712-32727(2021).
[155] Chen C, Chen X G, Shi Y T et al. Metrology of nanostructures by tomographic Mueller-matrix scatterometry[J]. Applied Sciences, 8, 2583(2018).
[156] Hong X, van Dijk E M P H, Hall S R et al. Background-free detection of single 5 nm nanoparticles through interferometric cross-polarization microscopy[J]. Nano Letters, 11, 541-547(2011).
[157] Allen L, Beijersbergen M W, Spreeuw R J et al. Orbital angular momentum of light and the transformation of Laguerre-Gaussian laser modes[J]. Physical Review A, Atomic, Molecular, and Optical Physics, 45, 8185-8189(1992).
[158] Hayenga W E, Parto M, Ren J H et al. Direct generation of tunable orbital angular momentum beams in microring lasers with broadband exceptional points[J]. ACS Photonics, 6, 1895-1901(2019).
[159] Shao Z K, Zhu J B, Chen Y J et al. Spin-orbit interaction of light induced by transverse spin angular momentum engineering[J]. Nature Communications, 9, 926(2018).
[160] Yang Y J, Zhao Q, Liu L L et al. Manipulation of orbital-angular-momentum spectrum using pinhole plates[J]. Physical Review Applied, 12, 064007(2019).
[161] Xie Z W, Lei T, Li F et al. Ultra-broadband on-chip twisted light emitter for optical communications[J]. Light: Science & Applications, 7, 18001(2018).
[162] Willner A E, Huang H, Yan Y et al. Optical communications using orbital angular momentum beams[J]. Advances in Optics and Photonics, 7, 66-106(2015).
[163] Stav T, Faerman A, Maguid E et al. Quantum entanglement of the spin and orbital angular momentum of photons using metamaterials[J]. Science, 361, 1101-1104(2018).
[164] Wang B, Tanksalvala M, Zhang Z et al. Coherent Fourier scatterometry using orbital angular momentum beams for defect detection[J]. Optics Express, 29, 3342-3358(2021).
[165] Wen Y H, Chremmos I, Chen Y J et al. Arbitrary multiplication and division of the orbital angular momentum of light[J]. Physical Review Letters, 124, 213901(2020).
[166] Aboushelbaya R, Glize K, Savin A F et al. Orbital angular momentum coupling in elastic photon-photon scattering[J]. Physical Review Letters, 123, 113604(2019).
[167] Guo K, Bian Z, Dong S et al. Microscopy illumination engineering using a low-cost liquid crystal display[J]. Biomedical Optics Express, 6, 574-579(2015).
[168] Doiron B, Mota M, Wells M P et al. Quantifying figures of merit for localized surface plasmon resonance applications: a materials survey[J]. ACS Photonics, 6, 240-259(2019).
[169] Huttunen M J, Rasekh P, Boyd R W et al. Using surface lattice resonances to engineer nonlinear optical processes in metal nanoparticle arrays[J]. Physical Review A, 97, 053817(2018).
[170] Zhu A N, Gao R X, Zhao X Y et al. Site-selective growth of Ag nanoparticles controlled by localized surface plasmon resonance of nanobowl arrays[J]. Nanoscale, 11, 6576-6583(2019).
[171] Li R Y, Tu W W, Wang H S et al. Near-infrared light excited and localized surface plasmon resonance-enhanced photoelectrochemical biosensing platform for cell analysis[J]. Analytical Chemistry, 90, 9403-9409(2018).
[172] Debu D T, Yan Q G, Darweesh A A et al. Broad range electric field enhancement of a plasmonic nanosphere heterodimer[J]. Optical Materials Express, 10, 1704-1713(2020).
[173] Li Y F, Dong F X, Chen Y et al. As-grown graphene/copper nanoparticles hybrid nanostructures for enhanced intensity and stability of surface plasmon resonance[J]. Scientific Reports, 6, 37190(2016).
[174] Lee W, Lee S Y, Briber R M et al. Self-assembled SERS substrates with tunable surface plasmon resonances[J]. Advanced Functional Materials, 21, 3424-3429(2011).
[175] Rivas J G, Sánchez-Gil J A, Kuttge M et al. Optically switchable mirrors for surface plasmon polaritons propagating on semiconductor surfaces[J]. Physical Review B, 74, 245324(2006).
[176] Shubina T V, Gippius N A, Shalygin V A et al. Terahertz radiation due to random grating coupled surface plasmon polaritons[J]. Physical Review B, 83, 165312(2011).
[177] Yang T, Xin J S, Zhu Y Y et al. Spectrum analysis of bio-chemical thin films on the surface of a semiconductor by terahertz surface plasmon polaritons[J]. Journal of Infrared and Millimeter Waves, 35, 667-671(2016).
[178] Yang T, Ge J, Li X et al. Non-destructive plasma frequency measurement for a semiconductor thin film using broadband surface plasmon polaritons[J]. Optics Communications, 410, 926-929(2018).
[179] Yang T, Li Y Y, Stantchev R et al. Detection of defects on the surface of a semiconductor by terahertz surface plasmon polaritons[J]. Applied Optics, 55, 4139-4144(2016).
[180] Saxler J, Rivas J G, Janke C et al. Time-domain measurements of surface plasmon polaritons in the terahertz frequency range[J]. Physical Review B, 69, 155427(2004).
[181] Isaac T H, Barnes W L, Hendry E. Determining the terahertz optical properties of subwavelength films using semiconductor surface plasmons[J]. Applied Physics Letters, 93, 241115(2008).
[182] Zhang L L, Karpowicz N, Zhang C L et al. Terahertz real-time imaging for nondestructive detection[J]. Proceedings of SPIE, 6840, 68400Y(2008).
[183] Johnson B R. Calculation of light scattering from a spherical particle on a surface by the multipole expansion method[J]. Journal of the Optical Society of America A, 13, 326-337(1996).
[184] Zontak M, Cohen I. Defect detection in patterned wafers using multichannel scanning electron microscope[J]. Signal Processing, 89, 1511-1520(2009).
[185] Yoon J W, Ma S M, Kim G P et al. Nanophotonic identification of defects buried in three-dimensional NAND flash memory devices[J]. Nature Electronics, 1, 60-67(2018).
[186] Poddubny A, Iorsh I, Belov P et al. Hyperbolic metamaterials[J]. Nature Photonics, 7, 948-957(2013).
[187] Chen R, Lee Y H, Zhan T et al. Multistimuli-responsive self‐organized liquid crystal Bragg gratings[J]. Advanced Optical Materials, 7, 1900101(2019).
[188] Wu F, Lu G, Guo Z W et al. Redshift gaps in one-dimensional photonic crystals containing hyperbolic metamaterials[J]. Physical Review Applied, 10, 064022(2018).
[189] Huo P C, Zhang S, Liang Y Z et al. Hyperbolic metamaterials and metasurfaces: fundamentals and applications[J]. Advanced Optical Materials, 7, 1801616(2019).
[190] Green M A. Self-consistent optical parameters of intrinsic silicon at 300 K including temperature coefficients[J]. Solar Energy Materials and Solar Cells, 92, 1305-1310(2008).
[191] Spence S, Lee W K, Lin F et al. Transmission X-ray microscopy and its applications in battery material research-a short review[J]. Nanotechnology, 32, 1305-1310(2021).
[192] Holler M, Diaz A, Guizar-Sicairos M et al. X-ray ptychographic computed tomography at 16 nm isotropic 3D resolution[J]. Scientific Reports, 4, 3857(2014).
[193] Odstrcil M, Holler M, Raabe J et al. High resolution 3D imaging of integrated circuits by X-ray ptychography[J]. Proceedings of SPIE, 10656, 106560U(2018).
[194] Dierolf M, Menzel A, Thibault P et al. Ptychographic X-ray computed tomography at the nanoscale[J]. Nature, 467, 436-439(2010).
[195] Holler M, Guizar-Sicairos M, Tsai E H R et al. High-resolution non-destructive three-dimensional imaging of integrated circuits[J]. Nature, 543, 402-406(2017).
[196] Holler M, Odstrcil M, Guizar-Sicairos M et al. Three-dimensional imaging of integrated circuits with macro- to nanoscale zoom[J]. Nature Electronics, 2, 464-470(2019).
[197] Tanksalvala M, Porter C L, Esashi Y et al. Nondestructive, high-resolution, chemically specific 3D nanostructure characterization using phase-sensitive EUV imaging reflectometry[J]. Science Advances, 7, eabd9667(2021).
[198] Eberle A L, Mikula S, Schalek R et al. High-resolution, high-throughput imaging with a multibeam scanning electron microscope[J]. Journal of Microscopy, 259, 114-120(2015).
[199] Kemen T, Malloy M, Thiel B et al. Further advancing the throughput of a multi-beam SEM[J]. Proceedings of SPIE, 9424, 94241U(2015).
[200] Keller A L, Zeidler D, Kemen T. High throughput data acquisition with a multi-beam SEM[J]. Proceedings of SPIE, 9236, 92360B(2014).
[201] Eberle A L, Schalek R, Lichtman J W et al. Multiple-beam scanning electron microscopy[J]. Microscopy Today, 23, 12-19(2015).
[202] Thiel B, Mukhtar M, Quoi K et al. Patterned wafer inspection with multi-beam SEM technology[J]. Microscopy and Microanalysis, 22, 586-587(2016).
[203] Eberle A L, Zeidler D. Multi-beam scanning electron microscopy for high-throughput imaging in connectomics research[J]. Frontiers in Neuroanatomy, 12, 112(2018).
[204] Thiel B, Lercel M, Bunday B et al. Assessing the viability of multi-electron beam wafer inspection for sub-20 nm defects[J]. Proceedings of SPIE, 9236, 92360E(2014).
[205] Bunday B D, Mukhtar M, Quoi K et al. Simulating massively parallel electron beam inspection for sub-20 nm defects[J]. Proceedings of SPIE, 9424, 94240J(2015).
[206] Kemen T, Garbowski T, Zeidler D. Multi-beam SEM technology for ultra-high throughput[J]. Proceedings of SPIE, 9658, 965807(2015).
[207] Neumann J T, Garbowski T, Högele W et al. High-throughput multi-beam SEM: quantitative analysis of imaging capabilities at IMEC-N10 logic node[J]. Proceedings of SPIE, 10145, 101451S(2017).
[208] Neumann J T, Srikantha A, Hüthwohl P et al. Defect detection and classification on imec iN5 node BEoL test vehicle with MultiSEM[J]. Proceedings of SPIE, 12053, 120530I(2022).
[209] Ma E, Chou K, Ebert M et al. Multiple beam inspection (MBI) for 7 nm node and beyond: technologies and applications[J]. Proceedings of SPIE, 10959, 109591R(2019).
[210] Ren W M, Liu X D, Hu X R et al. Multi-beam technology for defect inspection of wafer and mask[J]. Proceedings of SPIE, 11177, 111770D(2019).
[211] Ma E L, Ren W M, Luo X N et al. Multi-beam Inspection (MBI) development progress and applications[J]. Proceedings of SPIE, 11325, 113250F(2020).
[212] Shimizu Y, Lu W J, Ohba Y et al. Feasibility study on the concept of thermal contact sensor for nanometre-level defect inspections on smooth surfaces[J]. Measurement Science and Technology, 25, 064006(2014).
[213] Shimizu Y, Matsuno Y, Chen Y L et al. Design and testing of a micro-thermal sensor probe for nondestructive detection of defects on a flat surface[J]. Nanomanufacturing and Metrology, 1, 45-57(2018).
[214] Shimizu Y, Ohba Y, Gao W. Design of fabrication process of a thermal contact sensor for surface defect inspection[J]. Journal of Advanced Mechanical Design, Systems, and Manufacturing, 8, JAMDSM0052(2014).
[215] Nativ A, Feldman H, Shaked N T. Wafer defect detection by a polarization-insensitive external differential interference contrast module[J]. Applied Optics, 57, 3534-3538(2018).
[216] Geng H, Yang F, Zeng X et al. When wafer failure pattern classification meets few-shot learning and self-supervised learning[C](2021).
[217] Church J, Austin B, Meli L et al. A holistic characterization methodology for stochastic printing failures in EUV contact holes[C](2021).
[218] Das S, Kang S K, Halder S et al. Massive metrology of 2D logic patterns on BEOL EUVL[J]. Proceedings of SPIE, 11325, 113250J(2020).
[219] Chou P B, Rao A R, Sturzenbecker M C et al. Automatic defect classification for semiconductor manufacturing[J]. Machine Vision and Applications, 9, 201-214(1997).
[220] Henn M A, Barnes B M, Zhou H et al. Optimizing defect detectability across multiple ultraviolet wavelengths[J]. Proceedings of SPIE, 10585, 105850A(2018).
[221] Singh S, Khokale S, Xie Q et al. Utilizing single scan and enhanced design-based binning methodologies for improved process window and hotspot discovery[C](2019).
[222] Lin Y Y, Tsai F S, Hsu L C et al. Fast and accurate defect classification for CMP process monitoring[C](2019).
[223] Chen Y Q, Shu Z W, Zhang S et al. Sub-10 nm fabrication: methods and applications[J]. International Journal of Extreme Manufacturing, 3, 032002(2021).
[224] Quhe R G, Xu L, Liu S et al. Sub-10 nm two-dimensional transistors: theory and experiment[J]. Physics Reports, 938, 1-72(2021).
[225] Hsu C H, Ho C S, Yang G et al. Managing defects in DRAM stack capacitors using in-line e-beam inspection[J]. Solid State Technology, 50, 52-53(2007).
[226] Osten W, Haist T, Manske E. How to drive an optical measurement system to outstanding performance[J]. Proceedings of SPIE, 10557, 10557(2018).
[227] LeCun Y, Bengio Y, Hinton G. Deep learning[J]. Nature, 521, 436-444(2015).
[228] Wang T, Chen Y, Qiao M N et al. A fast and robust convolutional neural network-based defect detection model in product quality control[J]. The International Journal of Advanced Manufacturing Technology, 94, 3465-3471(2018).
[229] Patel D V, Bonam R K, Oberai A A. Deep learning-based detection, classification, and localization of defects in semiconductor processes[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 19, 024801(2020).
[230] Wu K H, Chen Z G, Li W. A novel intrusion detection model for a massive network using convolutional neural networks[J]. IEEE Access, 6, 50850-50859(2018).
[231] LeCun Y, Bottou L, Bengio Y et al. Gradient-based learning applied to document recognition[J]. Proceedings of the IEEE, 86, 2278-2324(1998).
[232] Fang X, Shi Z. Wafer defect detection and classification algorithms based on convolutional neural network[J]. Computer Engineering, 44, 218-223(2018).
[233] Yang J, Shang X, Rong H J et al. A wafer segmentation method using the closest affine iterative point[J]. Journal of Xi’an Jiaotong University, 51, 56-61(2017).
[234] Chien J C, Wu M T, Lee J D. Inspection and classification of semiconductor wafer surface defects using CNN deep learning networks[J]. Applied Sciences, 10, 5340(2020).
[235] Cheon S, Lee H K, Kim C O et al. Convolutional neural network for wafer surface defect classification and the detection of unknown defect class[J]. IEEE Transactions on Semiconductor Manufacturing, 32, 163-170(2019).
[236] Pan J M, Low K L, Ghosh J et al. Transfer learning-based artificial intelligence-integrated physical modeling to enable failure analysis for 3 nanometer and smaller silicon-based CMOS transistors[J]. ACS Applied Nano Materials, 4, 6903-6915(2021).
[237] Hsu C Y, Chien J C. Ensemble convolutional neural networks with weighted majority for wafer Bin map pattern classification[J]. Journal of Intelligent Manufacturing, 33, 831-844(2022).
[238] Henn M A, Zhou H, Barnes B M. Data-driven approaches to optical patterned defect detection[J]. OSA Continuum, 2, 2683-2693(2019).
[239] Okuda H, Hiroi T. Robust defect detection method using reference image averaging for high-throughput SEM wafer pattern inspection system[J]. Proceedings of SPIE, 6152, 61524F(2006).
[240] Oh J H, Kwon G, Mun D Y et al. Application of review-SEM to high-resolution inspection for 3x nm nodes[J]. Proceedings of SPIE, 8324, 83242P(2012).
[241] Levi S, Schwartsband I, Khristo S et al. SEM simulation for 2D and 3D inspection metrology and defect review[J]. Proceedings of SPIE, 9051, 90510B(2014).
[242] de Bisschop P, Hendrickx E. Stochastic printing failures in EUV lithography[J]. Proceedings of SPIE, 10957, 109570E(2019).
[243] Ouchi M, Ishikawa M, Shinoda S et al. A trainable die-to-database for fast e-beam inspection: learning normal images to detect defects[J]. Proceedings of SPIE, 11325, 113252F(2020).
[244] Kitamura T, Kubota K, Hasebe T et al. Introduction of a die-to-database verification tool for the entire printed geometry of a die: geometry verification system NGR2100 for DFM[J]. Proceedings of SPIE, 5756, 73-84(2005).
[245] Luoh T, Yang L W, Yang T et al. Process window optimization by die to database e beam inspection[C](2018).
[246] Nakamae K. Electron microscopy in semiconductor inspection[J]. Measurement Science and Technology, 32, 052003(2021).
[247] Kondo T, Ban N M, Ebizuka Y et al. Massive metrology and inspection solution for EUV by area inspection SEM with machine learning technology[J]. Proceedings of SPIE, 11611, 1161111(2021).
[248] Wang F, Zhang P C, Fang W et al. Process window and defect monitoring using high-throughput e-beam inspection guided by computational hot spot detection[J]. Proceedings of SPIE, 9778, 97783F(2016).
[249] Strojwas A J, Brozek T, Doong K et al. Novel E-beam techniques for inspection and monitoring[C], 135-137(2022).
[250] Patterson O D, Lee J L, Monkowski M D et al. E-beam inspection system for comparison of wafer and design data[J]. Proceedings of SPIE, 8324, 83242J(2012).
[251] Zhu J L, Udupa A, Goddard L L. Visualizable detection of nanoscale objects using anti-symmetric excitation and non-resonance amplification[J]. Nature Communications, 11, 2754(2020).