• Journal of Semiconductors
  • Vol. 43, Issue 10, 102501 (2022)
Hongchao Zhang1, Xiangyue Ma1, Chuanpeng Jiang1, Jialiang Yin1, Shuqin Lyu2, Shiyang Lu2, Xiantao Shang2, Bowen Man2, Cong Zhang2, Dandan Li2, Shuhui Li2, Wenjing Chen3, Hongxi Liu2、*, Gefei Wang2、**, Kaihua Cao1、3、***, Zhaohao Wang1, and Weisheng Zhao1、3
Author Affiliations
  • 1Fert Beijing Institute, School of Integrated Science and Engineering, Beihang University, Beijing 100191, China
  • 2Truth Memory Tech. Corporation, Beijing 100088, China
  • 3Beihang-Goertek Joint Microelectronics Institute, Qingdao Research Institute, Beihang University, Qingdao 266000, China
  • show less
    DOI: 10.1088/1674-4926/43/10/102501 Cite this Article
    Hongchao Zhang, Xiangyue Ma, Chuanpeng Jiang, Jialiang Yin, Shuqin Lyu, Shiyang Lu, Xiantao Shang, Bowen Man, Cong Zhang, Dandan Li, Shuhui Li, Wenjing Chen, Hongxi Liu, Gefei Wang, Kaihua Cao, Zhaohao Wang, Weisheng Zhao. Integration of high-performance spin-orbit torque MRAM devices by 200-mm-wafer manufacturing platform[J]. Journal of Semiconductors, 2022, 43(10): 102501 Copy Citation Text show less
    References

    [1] B Dieny, I L Prejbeanu, K Garello et al. Opportunities and challenges for spintronics in the microelectronics industry. Nat Electron, 3, 446(2020).

    [2] D Apalkov, B Dieny, J M Slaughter. Magnetoresistive random access memory. Proc IEEE, 104, 1796(2016).

    [3] Y Zhang, W S Zhao, J O Klein et al. Spintronics for low-power computing. 2014 Design, Automation & Test in Europe Conference & Exhibition, 1(2014).

    [4] H L Chiang, J F Wang, T C Chen et al. Cold MRAM as a density booster for embedded NVM in advanced technology. 2021 Symposium on VLSI Technology, 1(2021).

    [5] A Antonyan, S Pyo, H Jung et al. Embedded MRAM macro for eFlash replacement. 2018 IEEE International Symposium on Circuits and Systems, 1(2018).

    [6] V B Naik, K Lee, K Yamane et al. Manufacturable 22nm FD-SOI embedded MRAM technology for industrial-grade MCU and IOT applications. 2019 IEEE International Electron Devices Meeting, 2.3.1(2019).

    [7] L Chang, D M Fried, J Hergenrother et al. Stable SRAM cell design for the 32 nm node and beyond. 2005 Symposium on VLSI Technology, 128(2005).

    [8] Y H Chen, W M Chan, W C Wu et al. A 16nm 128Mb SRAM in high-κ metal-gate FinFET technology with write-assist circuitry for low-VMIN applications. IEEE J Solid-State Circuits, 50, 170(2015).

    [9] L Thomas, G Jan, S Serrano-Guisan et al. STT-MRAM devices with low damping and moment optimized for LLC applications at Ox nodes. 2018 IEEE Int Electron Devices Meet IEDM, 27.3.1(2018).

    [10] K L Wang, J G Alzate, P K Amiri. Low-power non-volatile spintronic memory: STT-RAM and beyond. J Phys D, 46, 074003(2013).

    [11] S D Wang, H Lee, F Ebrahimi et al. Comparative evaluation of spin-transfer-torque and magnetoelectric random access memory. IEEE J Emerg Sel Top Circuits Syst, 6, 134(2016).

    [12] T Endoh. Nonvolatile logic and memory devices based on spintronics. 2015 IEEE International Symposium on Circuits and Systems, 13(2015).

    [13] B N Engel, J Akerman, B Butcher et al. A 4-Mb toggle MRAM based on a novel bit and switching method. IEEE Trans Magn, 41, 132(2005).

    [14] J C Slonczewski. Current-driven excitation of magnetic multilayers. J Magn Magn Mater, 159, L1(1996).

    [15] Y M Huai, F Albert, P Nguyen et al. Observation of spin-transfer switching in deep submicron-sized and low-resistance magnetic tunnel junctions. Appl Phys Lett, 84, 3118(2004).

    [16] M D Stiles, A Zangwill. Anatomy of spin-transfer torque. Phys Rev B, 66, 014407(2002).

    [17] M D Stiles, J Miltat. Spin-transfer torque and dynamics. In: Spin Dynamics in Confined Magnetic Structures III. Springer, 225(2006).

    [18] R Carboni, S Ambrogio, W Chen et al. Understanding cycling endurance in perpendicular spin-transfer torque (p-STT) magnetic memory. 2016 IEEE International Electron Devices Meeting, 21.6.1(2016).

    [19] J Li, C Augustine, S Salahuddin et al. Modeling of failure probability and statistical design of spin-torque transfer magnetic random access memory (STT MRAM) array for yield enhancement. 2008 45th ACM/IEEE Design Automation Conference, 278(2008).

    [20] S Mukhopadhyay, H Mahmoodi, K Roy. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans Comput Aided Des Integr Circuits Syst, 24, 1859(2005).

    [21] W S Zhao, Y Zhang, T Devolder et al. Failure and reliability analysis of STT-MRAM. Microelectron Reliab, 52, 1848(2012).

    [22] Q M Shao, P Li, L Q Liu et al. Roadmap of spin–orbit torques. IEEE Trans Magn, 57, 800439(2021).

    [23] Z X Guo, J L Yin, Y Bai et al. Spintronics for energy-efficient computing: An overview and outlook. Proc IEEE, 109, 1398(2021).

    [24] D Q Zhu, Z X Guo, A Du et al. First demonstration of three terminal MRAM devices with immunity to magnetic fields and 10 ns field free switching by electrical manipulation of exchange bias. 2021 IEEE International Electron Devices Meeting, 17.5.1(2021).

    [25] S Fukami, T Anekawa, C Zhang et al. A spin–orbit torque switching scheme with collinear magnetic easy axis and current configuration. Nat Nanotechnol, 11, 621(2016).

    [26] H Honjo, T V A Nguyen, T Watanabe et al. First demonstration of field-free SOT-MRAM with 0.35 ns write speed and 70 thermal stability under 400°C thermal tolerance by canted SOT structure and its advanced patterning/SOT channel technology. 2019 IEEE International Electron Devices Meeting, 28.5.1(2019).

    [27] S Couet, S Rao, S Van Beek et al. BEOL compatible high retention perpendicular SOT-MRAM device for SRAM replacement and machine learning. 2021 Symposium on VLSI Technology, 1(2021).

    [28] S Z Rahaman, I J Wang, D Y Wang et al. Size-dependent switching properties of spin-orbit torque MRAM with manufacturing-friendly 8-inch wafer-level uniformity. IEEE J Electron Devices Soc, 8, 163(2020).

    [29] J Lourembam, L S Huang, B J Chen et al. Multi-state magnetic tunnel junction programmable by nanosecond spin-orbit torque pulse sequence. Adv Electron Mater, 7, 2001133(2021).

    [30] G L Chen, I J Wang, P S Yeh et al. An 8kb spin-orbit-torque magnetic random-access memory. 2021 International Symposium on VLSI Technology, Systems and Applications, 1(2021).

    [31] C F Pai, L Q Liu, Y Li et al. Spin transfer torque devices utilizing the giant spin Hall effect of tungsten. Appl Phys Lett, 101, 122404(2012).

    [32] J Liu, T Ohkubo, S Mitani et al. Correlation between the spin Hall angle and the structural phases of early 5d transition metals. Appl Phys Lett, 107, 232408(2015).

    [33] Embedded Memories Technology scaling & STT-MRAM for IoT & Automotive. IEEE International Electron Devices Meeting Archive, 2017

    [34] J Das, S M Alam, S Bhanja. Non-destructive variability tolerant differential read for non-volatile logic. 2012 IEEE 55th International Midwest Symposium on Circuits and Systems, 178(2012).

    [35] Z Li, B N Yan, L Yang et al. A new self-reference sensing scheme for TLC MRAM. 2015 IEEE International Symposium on Circuits and Systems, 593(2015).

    [36] J Yun, B Nadeau-Dostie, M Keim et al. MBIST supported multi step trim for reliable eMRAM sensing. 2020 IEEE International Test Conference, 1(2020).

    [37] J Z Sun. Spin-current interaction with a monodomain magnetic body: A model study. Phys Rev B, 62, 570(2000).

    [38] L J Zhu, L J Zhu, S J Shi et al. Enhancing spin-orbit torque by strong interfacial scattering from ultrathin insertion layers. Phys Rev Appl, 11, 061004(2019).

    [39] K Lee, S H Kang. Design consideration of magnetic tunnel junctions for reliable high-temperature operation of STT-MRAM. IEEE Trans Magn, 46, 1537(2010).

    [40] S Z Rahaman, I J Wang, T Y Chen et al. Pulse-width and temperature effect on the switching behavior of an etch-stop-on-MgO-barrier spin-orbit torque MRAM cell. IEEE Electron Device Lett, 39, 1306(2018).

    [41] L Q Liu, C F Pai, Y Li et al. Spin-torque switching with the giant spin Hall effect of tantalum. Science, 336, 555(2012).

    [42] A Sura, V Nehra. Performance comparison of single level STT and SOT MRAM cells for cache applications. 2021 25th International Symposium on VLSI Design and Test, 1(2021).

    [43] Y J Song, J H Lee, S H Han et al. Demonstration of highly manufacturable STT-MRAM embedded in 28nm logic. 2018 IEEE Int Electron Devices Meet IEDM, 18.2.1(2018).

    [44] JC-14 Quality and reliability of solid state products. Avilable from:https://www. jedec. org/committees/jc-14

    [45] K Tsunoda, M Aoki, H Noshiro et al. Area dependence of thermal stability factor in perpendicular STT-MRAM analyzed by bi-directional data flipping model. 2014 IEEE International Electron Devices Meeting, 19.3.1(2014).

    [46] H Sato, M Yamanouchi, S Ikeda et al. Perpendicular-anisotropy CoFeB-MgO magnetic tunnel junctions with a MgO/CoFeB/ Ta/CoFeB/MgO recording structure. Appl Phys Lett, 101, 022414(2012).

    [47] W Kim, J H Jeong, Y Kim et al. Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node. 2011 Int Electron Devices Meet, 24.1.1(2011).

    [48] L Thomas, G Jan, J Zhu et al. Perpendicular spin transfer torque magnetic random access memories with high spin torque efficiency and thermal stability for embedded applications. J Appl Phys, 115, 172615(2014).

    [49] L Thomas, G Jan, S Le et al. Quantifying data retention of perpendicular spin-transfer-torque magnetic random access memory chips using an effective thermal stability factor method. Appl Phys Lett, 106, 162402(2015).

    [50] T Endoh, H Honjo, K Nishioka et al. Recent progresses in STT-MRAM and SOT-MRAM for next generation MRAM. IEEE Symposium on VLSI Technology, 1(2020).

    Hongchao Zhang, Xiangyue Ma, Chuanpeng Jiang, Jialiang Yin, Shuqin Lyu, Shiyang Lu, Xiantao Shang, Bowen Man, Cong Zhang, Dandan Li, Shuhui Li, Wenjing Chen, Hongxi Liu, Gefei Wang, Kaihua Cao, Zhaohao Wang, Weisheng Zhao. Integration of high-performance spin-orbit torque MRAM devices by 200-mm-wafer manufacturing platform[J]. Journal of Semiconductors, 2022, 43(10): 102501
    Download Citation