• Acta Optica Sinica
  • Vol. 40, Issue 4, 422002 (2020)
Mao Yanjie1、2, Li Sikun1、2, Wang Xiangzhao1、2, Wei Yayi3, and Chen Guodong1、2
Author Affiliations
  • 1Laboratory of Information Optics and Opto-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • 3Integrated Circuit Advanced Process R & D Center, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
  • show less
    DOI: 10.3788/AOS202040.0422002 Cite this Article Set citation alerts
    Mao Yanjie, Li Sikun, Wang Xiangzhao, Wei Yayi, Chen Guodong. Multi-Parameter Joint Optimization for Lithography Based on Photoresist Topography Model[J]. Acta Optica Sinica, 2020, 40(4): 422002 Copy Citation Text show less
    References

    [1] Semiconductor industry association. International technology roadmap for semiconductors Washington,[R]. DC: SIA(2015).

    [2] Mack C. Fundamental principles of optical lithography[M]. Chichester, UK: John Wiley & Sons, Ltd(2007).

    [3] Rosenbluth A E, Bukofsky S J, Hibbs M S et al. Optimum mask and source patterns to print a given shape[J]. Proceedings of SPIE, 4346, 486-502(2001).

    [4] Staals F, Andryzhyieuskaya A, Bakker H et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[J]. Proceedings of SPIE, 7973, 79731G(2011).

    [5] Fühner T, Evanschitzky P, Erdmann A. Mutual source, mask and projector pupil optimization[J]. Proceedings of SPIE, 8326, 83260I(2012).

    [6] Wang L, Li S K, Wang X Z et al. Source mask projector optimization method of lithography tools based on particle swarm optimization algorithm[J]. Acta Optica Sinica, 37, 1022001(2017).

    [7] Fühner T[D]. Artificial evolution for the optimization of lithographic process conditions Germany: Friedrich-Alexander-Universität Erlangen-Nü, rnberg, 2013.

    [8] Pomplun J, Zschiedrich L, Burger S et al. Reduced basis method for source mask optimization[J]. Proceedings of SPIE, 7823, 78230E(2010).

    [9] Chang J H C, Chen C C P, Melvin L S. Abbe-PCA-SMO: microlithography source and mask optimization based on Abbe-PCA[J]. Proceedings of SPIE, 7640, 764026(2010).

    [10] Mülders T, Domnenko V, Küchler B et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 7823, 78233X(2010).

    [11] Deng Y F, Zou Y, Yoshimoto K et al. Considerations in source-mask optimization for logic applications[J]. Proceedings of SPIE, 7640, 76401J(2010).

    [12] Pang L Y, Xiao G M, Tolani V et al. Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO)[J]. Proceedings of SPIE, 7122, 71221W(2008).

    [13] Yu J C, Yu P C, Chao H Y. Source optimization incorporating margin image average with conjugate gradient method[J]. Proceedings of SPIE, 8326, 83261W(2012).

    [14] Fuehner T, Erdmann A, Schnattinger T. Genetic algorithms for geometry optimization in lithographic imaging systems[J]. Proceedings of SPIE, 5558, 29-40(2004).

    [15] Yang C X, Li S K, Wang X. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 36, 0811001(2016).

    [16] Li J, Liu S Y, Lam E Y. Efficient source and mask optimization with augmented Lagrangian methods in optical lithography[J]. Optics Express, 21, 8076-8090(2013).

    [17] Finders J. The impact of Mask 3D and Resist 3D effects in optical lithography[J]. Proceedings of SPIE, 9052, 905205(2014).

    [18] Samy A N, Seltmann R, Kahlenberg F et al. Role of 3D photo-resist simulation for advanced technology nodes[J]. Proceedings of SPIE, 8683, 86831E(2013).

    [19] Zuniga C, Deng Y F. Resist toploss and profile modeling for optical proximity correction applications[J]. Nanolithography, MEMS, and MOEMS, 13, 043010(2014).

    [20] Fan Y F. Wu C E R, Ren Q, et al. Improving 3D resist profile compact modeling by exploiting 3D resist physical mechanisms[J]. Proceedings of SPIE, 9052, 90520X(2014).

    [21] Wu C E R, Chang J, Song H et al. AF printability check with a full-chip 3D resist profile model[J]. Proceedings of SPIE, 8880, 88802D(2013).

    [22] Cao Y. New trends in computational lithography-data, algorithm and applications[R]. China: IWAPS(2018).

    [23] Poonawala A, Milanfar P. Mask design for optical microlithography: an inverse imaging problem[J]. IEEE Transactions on Image Processing, 16, 774-788(2007).

    [24] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 45, 285-293(2013).

    [25] Peng Y, Zhang J Y, Wang Y et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 20, 2856-2864(2011).

    [26] Shen Y J, Jia N N, Wong N et al. Robust level-set-based inverse lithography[J]. Optics Express, 19, 5511-5521(2011).

    [27] Pati Y C, Ghazanfarian A A, Pease R F. Exploiting structure in fast aerial image computation for integrated circuit patterns[J]. IEEE Transactions on Semiconductor Manufacturing, 10, 62-74(1997).

    [28] Mao Y J, Li S K, Wang X Z et al. Lithographic tool-matching method based on differential evolution algorithm[J]. Acta Optica Sinica, 39, 1222002(2019).

    [29] Zhang J Q, Sanderson A C. JADE: self-adaptive differential evolution with fast and reliable convergence performance. [C]//2007 IEEE Congress on Evolutionary Computation, September 25-28, 2007, Singapore. New York: IEEE, 2251-2258(2007).

    [30] Liu P, Zhang Z F, Lan S et al. A full-chip 3D computational lithography framework[J]. Proceedings of SPIE, 8326, 83260A(2012).

    Mao Yanjie, Li Sikun, Wang Xiangzhao, Wei Yayi, Chen Guodong. Multi-Parameter Joint Optimization for Lithography Based on Photoresist Topography Model[J]. Acta Optica Sinica, 2020, 40(4): 422002
    Download Citation