• Acta Optica Sinica
  • Vol. 40, Issue 4, 422002 (2020)
Mao Yanjie1、2, Li Sikun1、2, Wang Xiangzhao1、2, Wei Yayi3, and Chen Guodong1、2
Author Affiliations
  • 1Laboratory of Information Optics and Opto-Electronic Technology, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
  • 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
  • 3Integrated Circuit Advanced Process R & D Center, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
  • show less
    DOI: 10.3788/AOS202040.0422002 Cite this Article Set citation alerts
    Mao Yanjie, Li Sikun, Wang Xiangzhao, Wei Yayi, Chen Guodong. Multi-Parameter Joint Optimization for Lithography Based on Photoresist Topography Model[J]. Acta Optica Sinica, 2020, 40(4): 422002 Copy Citation Text show less
    Schematic of lithographic projection system
    Fig. 1. Schematic of lithographic projection system
    Coding scheme of source and mask. (a) Coding scheme of source; (b) coding scheme of mask
    Fig. 2. Coding scheme of source and mask. (a) Coding scheme of source; (b) coding scheme of mask
    Illustration of the JADE mutation strategy
    Fig. 3. Illustration of the JADE mutation strategy
    Workflow of proposed method. (a) General flow chart; (b) source or mask optimization; (c) selection operation; (d) evaluation function calculation
    Fig. 4. Workflow of proposed method. (a) General flow chart; (b) source or mask optimization; (c) selection operation; (d) evaluation function calculation
    Initial status of objects to be optimized. (a) Mask; (b) source; (c) projector pupil
    Fig. 5. Initial status of objects to be optimized. (a) Mask; (b) source; (c) projector pupil
    Mask, source and projector pupil after optimization by proposed method. (a) Mask; (b) source; (c) projector pupil
    Fig. 6. Mask, source and projector pupil after optimization by proposed method. (a) Mask; (b) source; (c) projector pupil
    Mask, source, and projector pupil after optimization by SMPO-AI. (a) Mask; (b) source; (c) projector pupil
    Fig. 7. Mask, source, and projector pupil after optimization by SMPO-AI. (a) Mask; (b) source; (c) projector pupil
    Intensity distributions on photoresist top surface. (a) Before optimization; (b) after optimization by SMPO-AI; (c) after optimization by proposed method
    Fig. 8. Intensity distributions on photoresist top surface. (a) Before optimization; (b) after optimization by SMPO-AI; (c) after optimization by proposed method
    Surface profiles of photoresist. (a) Before optimization; (b) after optimization by SMPO-AI; (c) after optimization by proposed method
    Fig. 9. Surface profiles of photoresist. (a) Before optimization; (b) after optimization by SMPO-AI; (c) after optimization by proposed method
    Sectional profiles of photoresist. (a) Before optimization; (b) after optimization by SMPO-AI; (c) after optimization by proposed method
    Fig. 10. Sectional profiles of photoresist. (a) Before optimization; (b) after optimization by SMPO-AI; (c) after optimization by proposed method
    Convergence curves of two methods. (a) SMPO-AI; (b) proposed method
    Fig. 11. Convergence curves of two methods. (a) SMPO-AI; (b) proposed method
    Convergence curves of two methods
    Fig. 12. Convergence curves of two methods
    Process window before and after optimization. (a) Process window; (b) exposure latitude versus depth of focus
    Fig. 13. Process window before and after optimization. (a) Process window; (b) exposure latitude versus depth of focus
    Optimization results of complex pattern. (a) Target pattern; (b) optimized mask; (c) optimized source; profiles of photoresist (d) before optimization and (e) after optimization; (f) optimized projector pupil
    Fig. 14. Optimization results of complex pattern. (a) Target pattern; (b) optimized mask; (c) optimized source; profiles of photoresist (d) before optimization and (e) after optimization; (f) optimized projector pupil
    Sectional diagrams of photoresist morphology before and after optimization of complex pattern. (a) Before optimization; (b) after optimization
    Fig. 15. Sectional diagrams of photoresist morphology before and after optimization of complex pattern. (a) Before optimization; (b) after optimization
    Photoresist morphology after optimization of complex pattern
    Fig. 16. Photoresist morphology after optimization of complex pattern
    Process window after optimization of complex pattern. (a) Process window; (b) exposure latitude versus depth of focus
    Fig. 17. Process window after optimization of complex pattern. (a) Process window; (b) exposure latitude versus depth of focus
    Optimization results of SRAM pattern. (a) Target pattern; (b) optimized mask; (c) optimized source; profiles of photoresist (d) before optimization and (e) after optimization; (f) optimized projector pupil
    Fig. 18. Optimization results of SRAM pattern. (a) Target pattern; (b) optimized mask; (c) optimized source; profiles of photoresist (d) before optimization and (e) after optimization; (f) optimized projector pupil
    Process window after optimization of SRAM pattern. (a) Process window; (b) exposure latitude versus depth of focus
    Fig. 19. Process window after optimization of SRAM pattern. (a) Process window; (b) exposure latitude versus depth of focus
    LayerMediumnkThickness /nm
    1Resist1.7190.364394.5
    2SiARC1.640.1532.0
    3SOC1.490.3200
    4Substrate0.8832.778+∞
    Table 1. Photoresist stack data
    Process windowMax DOF /nmMax EL /%Max PWPW area /%
    EL /%DOF /nm
    Initial141.56.44.2584.0100.0
    SMPO-AI212.512.858.65122.0298.3
    Proposed method237.018.0511.2138.0441.7
    Table 2. Process window before and after optimization
    Mao Yanjie, Li Sikun, Wang Xiangzhao, Wei Yayi, Chen Guodong. Multi-Parameter Joint Optimization for Lithography Based on Photoresist Topography Model[J]. Acta Optica Sinica, 2020, 40(4): 422002
    Download Citation