• Journal of Semiconductors
  • Vol. 41, Issue 11, 111404 (2020)
Xing Li1、2 and Lei Zhou2
Author Affiliations
  • 1University of Chinese Academy of Sciences, Beijing 100049, China
  • 2Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029, China
  • show less
    DOI: 10.1088/1674-4926/41/11/111404 Cite this Article
    Xing Li, Lei Zhou. A survey of high-speed high-resolution current steering DACs[J]. Journal of Semiconductors, 2020, 41(11): 111404 Copy Citation Text show less

    Abstract

    Digital to analog converters (DAC) play an important role as a bridge connecting the analog world and the digital world. With the rapid development of wireless communication, wideband digital radar, and other emerging technologies, better performing high-speed high-resolution DACs are required. In those applications, signal bandwidth and high-frequency linearity often limited by data converters are the bottleneck of the system. This article reviews the state-of-the-art technologies of high-speed and high-resolution DACs reported in recent years. Comparisons are made between different architectures, circuit implementations and calibration techniques along with the figure of merit (FoM) results.

    1. Introduction

    Digital to analog converters (DAC) are circuits that converse signals with information in bits to signals with information in their amplitude and time domain characteristics[1]. With the rapid development of high bandwidth applications, better performing high-speed high-resolution DACs are required[2, 3].

    Due to the increasing demand for higher data rates, transmitters[4, 5], 5G base-station[6], software defined radio (SDR)[7], and other wireless communication systems have become the most significant application scenario for DACs with the sampling rate may exceed GS/s. For optical communication[8, 9], arbitrary wave generators (AWG)[10, 11] and some special applications, >10 GHz bandwidth is required. High resolution of DACs is also necessary for high quality of transmission signal or generated signal. Other important applications are in medical [12], instrument[13], military[14, 15], aerospace[16], and other fields. DACs have often become the bottleneck of the high frequency performance for these broadband systems. In this paper, we pay special attention on high-speed and high-resolution DACs according to the emerging application requirements. Nyquist DACs[17-19] combine voltage, charge, or current in a weighted combination to synthesize the final output. The core circuit of current steering DAC is usually composed of a group of weighted current sources and corresponding current switches[18]. And the output current can directly drive the load with no need for high-speed buffers[20], so higher output bandwidth and linearity than other types can be achieved. For this reason, the current steering architecture becomes the most qualified candidate for high-speed high-resolution DACs.

    In the design of current steering DACs, the main challenge is to reduce the impact of static and dynamic errors. The static errors mainly come from the amplitude mismatch of current sources[21], which are caused by random errors and systematic errors[22]. In a given process technology, increasing the device size appropriately is an effective method for reducing random errors. However, systematic errors might be generated due to the large area[23]. To compensate the gradient errors, switching sequence optimization is a commonly used scheme[22, 23]. As the static performance of a segmented DAC is strongly dependent on the most significant bits (MSB) which are thermometer encoded, a suitable segmentation is also essential. In addition, the calibration techniques[24-28] of current sources can be introduced for higher linearity.

    As the sampling rate and signal frequency increases, the dynamic errors begin to dominate. The dynamic errors include finite output impedance[21], timing mismatch[29], transient-induced nonlinearity[30], feed-through effect[31], clock jitter[21], etc. The finite output impedance is one of the important error sources. Unlike an ideal current source, the actual current source has finite output impedance, which makes the output impedance of the DAC vary with the input digital codes. To solve the problem, a multi-stage cascode structure and small bleeding current sources can be introduced[21]. Another major limitation of dynamic performance is the timing mismatch. The clock skews and the delay variation along the signal path can cause the unequal toggling time instants. A few techniques, such as timing calibration[32], dynamic element matching (DEM)[17], and pulsed-error pre-distortion (PEPD) scheme[33] have been proposed to resolve the timing errors. In addition, the signal-dependent switching operations cause the transient-induced nonlinearity. To reduce this effect, a quad-switch structure[34] and various return-to-zero (RZ) methods[30] can be adopted.

    With the continuous development of IC design and process technology, a series of high-speed high-resolution DACs have been reported with a higher sampling rate, higher resolution, better performance, and lower power consumption. In Fig. 1, a comparison is made with the spurious free dynamic range (SFDR) performance versus sampling rate of high-speed high-resolution DACs published in the top conferences and journals in recent years.

    (Color online) Performance comparison of state-of-the-art DACs: SFDR@1GHz vs. sampling rate.

    Figure 1.(Color online) Performance comparison of state-of-the-art DACs: SFDR@1GHz vs. sampling rate.

    Table 1 shows a more detailed performance summary and comparison with these state-of-the-art high-speed high-resolution current steering DACs. Figs. 2(a)2(c) show the comparison of three common figures of merit (FoM) versus sampling rate with detailed definitions of the FoMs are given in Table 2.

    Table Infomation Is Not Enable

    (Color online) Performance comparison of state-of-the-art DACs: (a) FoM1, (b) FoM2, (c) FoM3 versus sampling rate.

    Figure 2.(Color online) Performance comparison of state-of-the-art DACs: (a) FoM1, (b) FoM2, (c) FoM3 versus sampling rate.

    Table Infomation Is Not Enable

    This paper aims to provide a survey of cutting-edge high-speed high-resolution DACs with the mainstream technologies in circuit implementations. The rest of this paper is organized as follows. Section 2 presents a roughly description about the architecture of the current steering DACs. Section 3 outlines the dominating techniques in the subcircuit design, including the encoding segmentation, switching current source and the switch driver. Section 4 introduces the calibration and error reducing techniques for static and dynamic errors. The summary is given in Section 5.

    2. Architecture of high-speed high-resolution DACs

    The block diagram of a traditional high-speed high-resolution current steering DAC is shown in Fig. 3. In such an architecture, the input digital signal (binary bits) can be covert into unary bits by the thermometer encoder, or go through a delay equalizer to align the data stream for the segmented encoding. If a higher sampling rate is needed, multiplexers (MUX) can be introduced before or after the encoder[43, 44] to combine parallel data into double high-speed serial data. The on-off state of differential switches is controlled by the digital codes from the switch driver, and the weighted current sources are switched either to the positive or negative output node, forming the corresponding currents. Usually the DAC outputs a differential voltage on the resistive load. Some DAC products also integrate broadband balun within the chip. The clock generation circuit distributes clock signals to the digital cells or multiplexers, and the bias voltages of the entire current cell array are provided by a bias generation circuit[36, 37].

    (Color online) Block diagram of a high-speed high-resolution current steering DAC with a typical switching current cell.

    Figure 3.(Color online) Block diagram of a high-speed high-resolution current steering DAC with a typical switching current cell.

    3. Subcircuit design

    3.1. Encoding and segmentation

    Encoder is one of the critical subcircuits. As mentioned before, a suitable encoding method is important for higher linearity design. According to the different current weights of current-steering cells, there are three encoding architectures of current steering DAC: binary-weighted architecture[20], thermometer-weighted architecture[45, 46], and segmented architecture[47].

    Since the digital input of DAC is binary codes, the binary-weighted architecture is the most intuitive way. Refs. [18, 20] reveal that the advantages of this architecture are its simplicity. However, with the increase of N (resolution), the MSB-controlled current source differs greatly from the current source controlled by the least significant bits (LSB). To be precise, the maximum current is 2N–1 times that of the minimum current[18], resulting in a poor differential nonlinearity (DNL). To reduce the effects of static current source mismatch, a data-weighted averaging (DWA) algorithm[48] can be introduced, at the sacrifice of increasing the glitch energy in some cases.

    The thermometer-weighted (unary-weighted) architecture is another option, which means that all switching currents have the same weight. This architecture can bring less disturbances on the output signal[46] at the cost of circuit complexity and power consumption[18]. Large layout dimensions increase routing complexity and lead to larger timing errors due to the presence of more parasitic components.

    Generally speaking, the segmented architecture is the preferred one to combine the advantages of above architectures: the coarse bits use thermometer-type coding to reduce the requirements on matching and improve the linearity, while the fine bits using binary coding to reduce the complexity of current cells. As a result, the most important trade-off is the segment ratio[43].

    Large coarse bits will introduce more parasitic capacitance, while large fine bits bringing the mismatch of current cells at the border. In Ref. [47], a design procedure of segmentation is outlined. The matching accuracy of the current source can be estimated based on the size of the transistors. After that, the maximum number of LSB section is determined according to the estimation and the yield requirements. Ref. [49] builds a model with the bandwidth and SFDR represented as a function of segmentation ratio for its hybrid DAC. Ref. [17] chooses a 6–10 segmentation in combination with the bounded INL calibration for a 16-bit DAC. In Ref. [35], the incoming data is decoded to the 3–3–3–5 (unary–unary–unary–binary) segmentation for a compact layout. In short, the segmentation design is not constant for a specific resolution, a compromise between good static and dynamic specifications versus power and area should be found[18].

    3.2. Switching current source cell design

    In current steering DACs, the performance of switching current source determines the performance of the DAC. A typical structure of the switching current source cell is shown in Fig. 4, which contains a cascoded current source, differential current switches, thick-oxide output cascodes and bleeding currents[21].

    A typical switching current cell proposed in Ref. [21].

    Figure 4.A typical switching current cell proposed in Ref. [21].

    As discussed earlier, the finite output impedance of the current source is one of the important factors that affect the dynamic performance. For this reason, the thick-oxide cascode devices (M4/M5) are added between the switch (M2/M3) and the output node to reduce the effect at low frequencies[21], which also serve as the protecting devices for the switching pairs. The cascode device (M1) plays a role of isolating the current source (M0) from the switches[43, 44], so as to avoid the influence of the parasitic capacitance of the current source on the fast switching differential pairs. At high frequencies, the signal dependence of the output impedance will be more severe as the impendence shows a first-order roll-off with frequency[21]. Ref. [21] also proposed a structure with bleeding current sources to overcome the finite output impedance which are now widely used[4, 17, 38]. Even in the off state, a small current pass through the cascode transistor to make it in a weak conduction state, so as to balance the output impedance. In addition, Ref. [38] incorporates core device cascodes in between the switches and the thick-oxide output cascode devices as depicted in Fig. 5, which serves to isolate the data-dependent distortion of the output cascode devices from the switches, reducing the effect of internal coupling.

    Quad-switching current cell with switch cascodes reported in Ref. [38].

    Figure 5.Quad-switching current cell with switch cascodes reported in Ref. [38].

    In the design of switching current source cell, it is crucial to reduce the signal-dependence of switch behavior[37]. A few techniques are proposed including the quad-switch structure[34, 50] and the RZ current switches[51, 52].

    Ref. [34] proposed a quad-switch as presented in Fig. 6, using two pairs of differential switches, which are activated alternately in every clock cycle. Even if there is no data change, the switching event will occur. The code-independent switching event improves the distortion performance at high frequency. Engel et al.[38] of ADI adopted the quad-switch in a 16-bit 10GS/s DAC as shown before in Fig. 5. Ref. [40] combines the quad-switch and the interleaved DAC structure in a 9-bit 11GS/s DAC to suppress the main dynamic error of the current-steering DAC. A major drawback of the quad-switch is the increased power consumption due to the increased switching frequency and twice as many switching transistors as ordinary differential switches.

    A simplified quad-switch cell proposed in Ref. [34].

    Figure 6.A simplified quad-switch cell proposed in Ref. [34].

    RZ switch is also an effective method to reduce the signal-dependent nonlinearity, as it can insert a zero output state between two consecutive signals[30]. This method allows the output transition to be independent and eliminates the distortion caused by uneven pulse duration. Ref. [51] proposed to adopt track and reset switches controlled by means of a two-phase clock generator, achieving a waveform composed of the signal value during the track and zero during the reset. Ref. [11] also utilized the RZ action. Two sub-DACs, with resampling switches illustrated in Fig. 7, produce two complementary return-to-zero waveforms, and synthesis a non-return-to-zero (NRZ) final waveform for better linearity at high frequencies. The output frequency can be synthesized in the second Nyquist zone for RZ DAC, and a multiple-return-to-zero (MRZ) architecture combining RZ and mixing DAC was proposed in Ref. [53], which can get a higher output with similar implementation, as the essence of this approach is to increase the RZ frequency. The frequency response for NRZ, RZ, and MRZ (mrz = fmrz/frz) waveforms are plotted in Fig. 8. One drawback of RZ switch is the data-dependent noise could still exist as the pulse may not switch to full level. Moreover, the jitter tolerance, the high switching frequency, and the low power efficiency limit the dynamic performance[34].

    RZ current cell with resampling switches proposed in Ref. [11].

    Figure 7.RZ current cell with resampling switches proposed in Ref. [11].

    (Color online) Magnitude of the frequency response for NRZ, RZ, and MRZ waveforms reported in Ref. [53].

    Figure 8.(Color online) Magnitude of the frequency response for NRZ, RZ, and MRZ waveforms reported in Ref. [53].

    3.3. Switch driver circuit design

    The switch driver is the transition cell from the digital domain to the analog domain[2], and is important for maintaining linearity, especially at high output frequencies[37]. The switch driver is always composed of latches or flip-flops, which can provide positive feedback and maintain latching status. In addition, the switch driver should be designed to reduce the clock feed-through effect and adjust the cross-point of the complementary control signals[54, 55].

    Ref. [21] proposed a typical switch gate driving, which creates a steep transition and has a short clock-to-output delay. This structure, as shown in Fig. 9, is also applied in Refs. [2, 56]. This pseudo-differential CMOS latch has advantages on driving the analog current source cell directly, and providing the final timing for the data input of the current source cells. To accelerate the signal transition further, PMOS transistors are added in Ref. [57], getting the capability of both pull-up and pull-down. The simplified schematic of the high-speed latch is demonstrated in Fig. 10, while the similar structure demonstrated in Fig. 11 may produce faster rise/fall times owing to the devices M1–M4[37].

    A typical fast latch proposed in Ref. [21]

    Figure 9.A typical fast latch proposed in Ref. [21]

    High-speed latch presented in Ref. [57].

    Figure 10.High-speed latch presented in Ref. [57].

    DAC output stack, with the switch driver proposed in Ref. [37].

    Figure 11.DAC output stack, with the switch driver proposed in Ref. [37].

    For the switch driver design, a critical problem is the mismatch of the signal-dependent switching timing. The latches driving the current source cells are intrinsically nonstatic, and the signal generated by the final latches will produce supply ripples[56], resulting in timing mismatch. For this reason, Spiridon et al.[56] of Broadcom proposed an effective method: establishing a dummy path, as illustrated in Fig. 12. When the main latch is not triggered, the dummy latch is triggered with dummy data. Since they share the same supply, and at each clock cycle the state changes in interface cell, the signal-dependent supply induced pattern is broken.

    Block diagram of dummy trigger proposed in Ref. [56].

    Figure 12.Block diagram of dummy trigger proposed in Ref. [56].

    Erdmann et al.[36] of Xilinx adopted this method to obtain the current of switch independent of data. The data and dummy-data drive the main and dummy bit-slices made of 3 differential latches. In addition, in order to achieve a dual-mode DAC, the final latch needs to accomplish both the retiming of data in the normal mode and the XOR operation of data and clock in the mixing mode.

    Ravinuthula et al.[37] of TI used differential clock CMOS inverter pairs to form their switch driver. The characteristic of the proposed circuit is that it takes advantage of the above-mentioned technique, using dummy data to switch the replica driver. The diagram of DAC output stack with the novel latch shown in Fig. 11. As a result, the effective path and the dummy path are complementary, and the influence of supply ripple on current is greatly reduced.

    In addition, the CML latch configuration is commonly used for low-swing differential operation at high frequencies and small disturbance on the power supply. A master–slave CML latch was applied in Ref. [58]. The digital output of the encoder will be latched first by master latches, then by slave latches, as shown in Fig. 13. The usage of two latch stages enables precise timing and steep edges to minimize the timing errors. The double-edge switch driver can be introduced to reduce the input clock frequency, and one of its major drawbacks is the memory effect, or the inter-symbol interference (ISI). Since the last operation may affect the next working state, Ref. [59] adds reset transistors to the common source node of the CML switch driver to form an enhanced reset circuit in a 14-bit 8GS/s DAC. As depicted in Fig. 14, when one branch is in off state, the associated reset transistor charges the common node to a fixed voltage.

    Master-slave latch presented in Ref. [58].

    Figure 13.Master-slave latch presented in Ref. [58].

    Double-edge current switch driver with enhanced reset circuit reported in Ref. [59].

    Figure 14.Double-edge current switch driver with enhanced reset circuit reported in Ref. [59].

    4. Calibration and error reducing techniques

    4.1. Static error calibration techniques

    In current steering DACs, the main goal of static error calibration is reducing the amplitude mismatch between current sources.

    Calibration techniques can be divided into foreground calibration[24] and background calibration[25, 26]. The foreground calibration technique usually needs to interrupt the operation process, and the background calibration can achieve continuous calibration at the cost of additional power consuming and extra spurious[27].

    While the foreground calibration is performed only once, the background retriggers the current cell periodically. It not only eliminates the static mismatch, but also tracks the error slow varying with time, which is related to the bias conditions and chip temperature fluctuations[26, 60]. In Ref. [25], a digital background self-calibration technique is proposed. The calibration loop is achieved with an 8-bit auxiliary calibration DAC (CAL_DAC) current source in parallel with a main current source. The digital trimming memory is directly connected to its corresponding CAL_DAC, so it can be compensated without converting digital calibration value into analog form, obtaining simple implementation and low power dissipation. To reduce the noise generated by the periodic calibration process, Ref. [60] introduced floating current cells without switching-in and out of DAC elements into calibration mode periodically. In Ref. [26], a method of time-domain randomization, which can convert the discrete calibration spurs into wideband noise, was proposed to eliminate spurious tones and reducing power consumption compared with Ref. [60].

    Another attractive option for static error calibration is the foreground calibration technique. A successive approximation register (SAR) logic and a CAL_DAC can be introduced[17, 24]. In Ref. [24], the current source to be calibrated is measured against a master reference current Iref. The CAL_DAC in parallel with the master current source is used to inject a small correction current to make the difference as close to zero as possible. The additional circuit for calibration is static during normal operation, neither consuming power nor injecting noise into the main signal path.

    The main constraints of the foreground calibration techniques are their sensitivity to the temperature and supply voltage variations. To track the current source mismatch change with temperature, Zhu et al.[27] of ADI analyzed the two factors that caused the current source mismatch: threshold voltage mismatch and current factor mismatch, and the expression of the current source mismatch was given by

    $\Delta I = \frac{{\Delta \beta }}{\beta }I + {g_{\rm{m}}}\left( { - \Delta {V_{{\rm{TH}}}}} \right),$ ()

    where I is the nominal bias current and is the nominal transconductance. According to the formula obtained, the calibration only focused on the dominant component of the mismatch current: . The specific method is generating current which is proportional to , where is a constant voltage derived from the bandgap voltage, ensuring that the output current of the CAL_DAC is equal to , so that the calibration current has the same temperature dependence with the assumed mismatch, hence improving matching to temperature variations.

    However, the scheme explained above only considers the main component of the mismatch current. For greater accuracy, Zhu et al.[28] proposed a two-parameter calibration technique using two CAL_DACs, as shown in Fig. 15. In this technique, the calibration method of the inherent current in Ref. [24] is regarded as the calibration for I component only; at the same time, the scheme in Ref. [27] is used to calibrate the gm component. This two-parameter calibration technique was applied to a 16-bit 10GS/s DAC[38], and the measurement results show a good matching across the temperature range from –40 to 85 °C. Table 3 summarized the INL/DNL at the calibration temperature (40 °C) and the standard deviations of the temperature drift[27, 28] of above foreground calibration techniques.

    Table Infomation Is Not Enable

    Two-parameter calibration loop configuration and CAL_DACs proposed in Ref. [28].

    Figure 15.Two-parameter calibration loop configuration and CAL_DACs proposed in Ref. [28].

    4.2. Dynamic error reducing techniques

    With the improvement of the sampling rate and output bandwidth, the dynamic errors become more dominant on the high frequency performance. The DEM technique[61-63], RZ technique[51-53], the layout arrangement technique[35, 64], and some new proposed techniques are presented as follows.

    DEM technique is an effective technique which can suppress both static and dynamic errors. Its principle is to select the circuit cell by randomization, which refers to the random permutation of switches[61]. This technique enables the amplitude and timing errors to be averaged over the entire time domain[17], and the harmonic components of the errors are converted into noises. The disadvantage of this technique is that the complexity of circuit increases significantly, and the complex digital logic may become a limitation for the improvement of DAC. Consequently, a good DEM technique requires less circuit overhead and complexity. In Ref. [17], a 2D thermometer-coded DEM technique was used to combine column and row thermometer-coded logic with local DEM to minimize glitch energy and greatly increase the randomness at an appropriate hardware cost. Moreover, Ref. [63] reveals the DEM techniques increase the element switching activity, and the extra transitions introduce more ISI errors that result in harmonic distortions. The authors proposed new DEM algorithms with higher randomness and minimum element transition rate, while solving the problems of static mismatch and dynamic ISI errors.

    RZ technique has been mentioned in Section 3.2, which means that the output tracks the signal once it has settled and then returns to zero. A typical RZ switching current cell was presented in Fig. 7 and the ideal RZ output is a square waveform composed of the signal value and zero in a clock cycle[51]. RZ technique can be divided into analog return-to-zero (ARZ) and digital return-to-zero (DRZ). ARZ[51] can be realized with reset transistors added at the output terminals, which can shield the effect of the transient-induced nonlinearity of the switches. The defect of this method is the excessive parasitic capacitance and area, and the DRZ technique[30, 65] gets more attention. It realizes equivalent return-to-zero by changing the control codes of the differential switches. Ref. [65] proposed a digital random return-to-zero (DRRZ) technique based on DRZ, to mitigate the impact of switching transients on the DAC dynamic performance. The DRRZ technique is adopted in a 12-bit 1.25 GS/s DAC[66].

    DEM and DRZ can be used in combination[30, 67]. In Ref. [67], a time-relaxed interleaving return-to-zero DEM (TRI-DEMRZ) technique was proposed to implement a DEM decoder with enough randomization and less code-dependent switching glitches in a 14-bit 1GS/s DAC. Ref. [30] also proposed a dynamic-element-matching and digital return-to-zero (DEMDRZ) technique, which randomizes the code-dependent distortion by random numbers, so as to further suppress the current-source mismatch and transient-induced nonlinearity. Ref. [35] uses DEM and DRZ techniques to design a 14-bit 10 GS/s DAC, achieving > 64 dB SFDR over the entire Nyquist bandwidth.

    The layout arrangement technique is also a significant option to mitigate the systematic matching errors. To reduce the timing skew induced between current cells, Ref. [35] applied the Q2 random walk arrangement with a common centroid proposed in Ref. [64], and then described a novel method named concentric parallelogram routing (CPR). The routing lengths used to connect the sub-cells can be equal, achieving a lower gradient mismatch error. In Ref. [53], a vertically stacked tree (VST) structure forms an H-tree for each cell was proposed to provide identical path lengths to the output summing node, thereby minimizing variations in amplitude and phase.

    A number of excellent dynamic error reducing techniques are proposed in recent years. To break the linearity limitation of Nyquist DAC, a hybrid DAC architecture with a Nyquist path and an oversampling path was proposed by Su et al.[49]. Fig. 16 illustrates the basic concept of the dual-rate hybrid DAC architecture. The MSB path operates at the Nyquist rate, while the LSB path operates at some oversampling rate via a delta–sigma modulator (DSM). This architecture leads to a better dynamic performance owing to the minimal analog complexity and the DSM dithering in the LSB path. Another delta–sigma assisted pre-distortion scheme is proposed to compensate for current mismatches in the MSB path through the delta–sigma modulated LSB path without using any other current cell, thereby achieving small simulation complexity and extremely high linearity[31]. Moreover, this technique can be used in combination with a DWA algorithm to further randomize other dynamic errors, such as time skews. In order to further widen the DAC bandwidth, Su et al. proposed an in-band noise-cancellation scheme and a pulsed-error pre-distortion (PEPD) scheme, to tackle both amplitude and timing errors together without penalty on noise performance[33]. Nevertheless, this only synthesizes the baseband signal and is difficult when covering wide RF spectra. Ref. [40] proposed a hybrid DAC with a tunable bandpass DSM, to addresses the constraints for high-linearity and low-noise waveform synthesis over wide frequency spans. The implemented DAC achieves IM3 of –85 to –67 dBc over the Nyquist band, and the SFDR remains > 60 dBc up to a 4.2 GHz signal frequency at 12 GS/s.

    Conceptual block diagram of a dual-rate hybrid DAC architecture proposed in Ref. [49].

    Figure 16.Conceptual block diagram of a dual-rate hybrid DAC architecture proposed in Ref. [49].

    To mitigate the data-dependent switching distortions, a random pairwise swapping (RPS) technique was proposed in Ref. [68], which reduces the intermodulation distortions between the element transition rate and the output-dependent unit switching. RPS randomly swaps the switching control signals of paired switching units in the random DEM decoding, resulting a 5–12 dB SFDR improvement at 1.0 GS/s.

    To remedy the finite output impedance effect at high frequencies, an output impedance compensation (OIC) technique was proposed in Ref. [35], which introduces a data-dependent compensation resistor, Rcp(Din). The current induced by the Rcp changes the current through the load resistors for compensating the distortion. The Rcp can be approximated by a PMOS biased with a data-dependent gate voltage VG(Din), as shown in Fig. 17. Notably, the OIC technique enables the use of non-cascoded current cells. A 14-bit 10 GS/s DAC with > 65 dBc SFDR over the entire Nyquist bandwidth was achieved by using the simple PMOS-based Rcp(Din) with two-level VG(Din).

    OIC technique with compensation resistor proposed in Ref. [35].

    Figure 17.OIC technique with compensation resistor proposed in Ref. [35].

    5. Conclusion

    Nowadays high-speed high-resolution DACs have been widely applied. In 5G communication, optical communication, and more broadband applications, the DAC becomes a bottleneck that limits the performance of the system. The state-of-the-art high-speed high-resolution current steering DACs are reviewed in this paper, with focus on the subcircuit design and error reducing techniques. Comparisons are made between different architectures, circuit implementations and calibration techniques along with three common FoM results.

    References

    [1]

    [2] S Spiridon, J Tang, H Yan et al. A 375 mW multimode DAC-based transmitter with 2.2 GHz signal bandwidth and in-band IM3 < –58 dBc in 40 nm CMOS. IEEE J Solid State Circuits, 48, 1595(2013).

    [3]

    [4] J Xiao, B Chen, T K Kim et al. A 13-bit 9GS/s RF DAC-based broadband transmitter in 28nm CMOS. IEEE Symposium on VLSI Circuits, 262(2013).

    [5] P C Ku, K Y Shih, L H Lu. A high-voltage DAC-based transmitter for coded signals in high frequency ultrasound imaging applications. IEEE Trans Circuits Syst I, 65, 2797(2018).

    [6] C Erdmann, B Verbruggen, B Vaz et al. A modular 16nm direct-RF TX/RX embedding 9GS/S DAC and 4.5GS/S ADC with 90dB isolation and sub-80PS channel alignment for monolithic integration in 5G base-station SoC. 2018 IEEE Symposium on VLSI Circuits, 219(2018).

    [7]

    [8] A Roshan-Zamir, B Wang, S Telaprolu et al. A two-segment optical DAC 40 Gb/s PAM4 silicon microring resonator modulator transmitter in 65nm CMOS. IEEE Optical Interconnects Conference (OI), 5(2017).

    [9] W Z Li, L Zhou, M Luo et al. 100Gb/s/λ optical fiber transmission based on high speed DAC in SiGe technology. 2018 Conference on Lasers and Electro-Optics Pacific Rim, 1(2018).

    [10] P Ostrovskyy, O Schrape, K T Helmric et al. A radiation hardened 16 GS/s arbitrary waveform generator ic for a submillimeter wave chirp-transform spectrometer. 2018 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC), 1(2018).

    [11] F Van de Sande, N Lugil, F Demarsin et al. A 7.2 GSa/s, 14 bit or 12 GSa/s, 12 bit signal generator on a chip in a 165 GHz fT BiCMOS process. IEEE J Solid-State Circuits, 47, 1003(2012).

    [12]

    [13] Y Zhuang, B Magstadt, T Chen et al. High-purity sine wave generation using nonlinear DAC with predistortion based on low-cost accurate DAC–ADC co-testing. IEEE Trans Instrum Meas, 67, 279(2018).

    [14] J S Hansen, G Jue. New approach to spectrum and emitter simulation: For the evaluation of radar and electronic warfare systems. 2013 International Conference on Radar, 532(2013).

    [15] A Glascott-Jones, N Chantier, F Bore et al. Direct conversion to X band using a 4.5 GSps SiGe digital to analog converter. 2014 International Radar Conference, 1(2014).

    [16] Y Yao, F Dai, R C Jaeger et al. A 12-bit cryogenic and radiation-tolerant digital-to-analog converter for aerospace extreme environment applications. IEEE Trans Ind Electron, 55, 2810(2008).

    [17] C H Lin, K L J Wong, T Y Kim et al. A 16b 6GS/S Nyquist DAC with IMD < –90dBc up to 1.9GHz in 16nm CMOS. 2018 IEEE International Solid-State Circuits Conference (ISSCC), 360(2018).

    [18] A van den Bosch, M A F Borremans, M S J Steyaert et al. A 10-bit 1-GSample/s Nyquist current-steering CMOS D/A converter. IEEE J Solid-State Circuits, 36, 315(2001).

    [19] B C Kim, M H Cho, Y G Kim et al. A 1 V 6-bit 2.4 GS/s Nyquist CMOS DAC for UWB systems. 2010 IEEE MTT-S International Microwave Symposium, 912(2010).

    [20] F T Chou, C M Chen, Z Y Chen et al. A novel glitch reduction circuitry for binary-weighted DAC. 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 240(2014).

    [21] C H Lin, F M L van der Goes, J R Westra et al. A 12 bit 2.9 GS/s DAC with IM3 < < –60 dBc beyond 1 GHz in 65 nm CMOS. IEEE J Solid-State Circuits, 44, 3285(2009).

    [22] Y H Gong, R L Geiger. Switching sequence optimization for gradient error compensation in thermometer-decoded DAC arrays. IEEE Trans Circuits Syst II, 47, 585(2000).

    [23] H Chen, L Y Liu, D M Li et al. A 12-bit current steering DAC with 2-dimensional gradient-error tolerant switching scheme. J Semicond, 31, 105006(2010).

    [24] D A Mercer. Low-power approaches to high-speed current-steering digital-to-analog converters in 0.18-μm CMOS. IEEE J Solid-State Circuits, 42, 1688(2007).

    [25] H H Chen, J Lee, J Weiner et al. A 14-b 150 MS/s CMOS DAC with digital background calibration. 2006 Symposium on VLSI Circuits, 51(2006).

    [26] M Clara, W Klatzer, B Seger et al. A 1.5V 200MS/s 13b 25mW DAC with randomized nested background calibration in 0.13μm CMOS. 2007 IEEE International Solid-State Circuits Conference, 250(2007).

    [27]

    [28] H Y Zhu, W H Yang, G Engel et al. A two-parameter calibration technique tracking temperature variations for current source mismatch. IEEE Trans Circuits Syst II, 64, 387(2017).

    [29] S H Xu, J W Lee. Calibration and correction of timing mismatch error in two-channel time-interleaved DACs. 2019 IEEE International Symposium on Circuits and Systems (ISCAS), 1(2019).

    [30] W T Lin, H Y Huang, T H Kuo. A 12-bit 40 nm DAC achieving SFDR > 70 dB at 1.6 GS/s and IMD –61dB at 2.8 GS/s with DEMDRZ technique. IEEE J Solid-State Circuits, 49, 708(2014).

    [31]

    [32] E Bechthum, G I Radulov, J Briaire et al. A wideband RF mixing-DAC achieving IMD < –82 dBc up to 1.9 GHz. IEEE J Solid-State Circuits, 51, 1374(2016).

    [33] S Su, M S Chen. A 12-Bit 2 GS/s dual-rate hybrid DAC with pulse-error predistortion and in-band noise cancellation achieving > 74 dBc SFDR and < –80 dBc IM3 up to 1 GHz in 65 nm CMOS. IEEE J Solid-State Circuits, 51, 2963(2016).

    [34] S Park, G Kim, S C Park et al. A digital-to-analog converter based on differential-quad switching. IEEE J Solid-State Circuits, 37, 1335(2002).

    [35] H Huang, T Kuo. A 0.07-mm2 162-mW DAC achieving > 65 dBc SFDR and < –70 dBc IM3 at 10 GS/s with output impedance compensation and concentric parallelogram routing. IEEE J Solid-State Circuits, 55, 2478(2020).

    [36] C Erdmann, E Cullen, D Brouard et al. A 330mW 14b 6.8GS/s dual-mode RF DAC in 16nm FinFET achieving –70.8dBc ACPR in a 20MHz channel at 5.2GHz. 2017 IEEE International Solid-State Circuits Conference (ISSCC), 280(2017).

    [37] V Ravinuthula, W Bright, M Weaver et al. A 14-bit 8.9GS/s RF DAC in 40nm CMOS achieving >71dBc LTE ACPR at 2.9GHz. 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1(2016).

    [38]

    [39]

    [40] S Y Su, M S W Chen. A 16-bit 12-GS/s single-/dual-rate DAC with a successive bandpass delta-sigma modulator achieving <–67-dBc IM3 within DC to 6-GHz tunable passbands. IEEE J Solid-State Circuits, 53, 3517(2018).

    [41]

    [42]

    [43] A Nazemi, K M Hu, B Catli et al. 3.4 A 36Gb/s PAM4 transmitter using an 8b 18GS/S DAC in 28nm CMOS. 2015 IEEE International Solid-State Circuits Conference (ISSCC), 1(2015).

    [44] Y M Greshishchev, D Pollex, S C Wang et al. A 56GS/S 6b DAC in 65nm CMOS with 256×6b memory. 2011 IEEE International Solid-State Circuits Conference, 194(2011).

    [45] J P Carreira. A two-step flash ADC for digital CMOS technology. Second International Conference on Advanced A-D and D-A Conversion Techniques and their Applications, 48(1994).

    [46]

    [47] C H Lin, K Bult. A 10-b, 500-MSample/s CMOS DAC in 0.6 mm2. IEEE J Solid-State Circuits, 33, 1948(1998).

    [48]

    [49] S Y Su, T I Tsai, P K Sharma et al. A 12 bit 1 GS/s dual-rate hybrid DAC with an 8 GS/s unrolled pipeline delta–sigma modulator achieving > 75 dB SFDR over the nyquist band. IEEE J Solid-State Circuits, 50, 896(2015).

    [50] B Schafferer, R Adams. A 3V CMOS 400mW 14b 1.4GS/s DAC for multi-carrier applications. 2004 IEEE International Solid-State Circuits Conference, 360(2004).

    [51] A R Bugeja, B S Song, P L Rakers et al. A 14-b, 100-MS/s CMOS DAC designed for spectral performance. IEEE J Solid-State Circuits, 34, 1719(1999).

    [52] M J Choe, K H Baek, M Teshome. A 1.6-GS/s 12-bit return-to-zero GaAs RF DAC for multiple Nyquist operation. IEEE J Solid-State Circuits, 40, 2456(2005).

    [53] L Duncan, B Dupaix, J J McCue et al. A 10-bit DC-20-GHz multiple-return-to-zero DAC with >48-dB SFDR. IEEE J Solid-State Circuits, 52, 3262(2017).

    [54]

    [55]

    [56]

    [57] X Q Li, Q Wei, Z Xu et al. A 14 bit 500 MS/s CMOS DAC using complementary switched current sources and time-relaxed interleaving DRRZ. IEEE Trans Circuits Syst I, 61, 2337(2014).

    [58]

    [59] D Wang, L Zhou, D Y Wu et al. An 8 GSps 14 bit RF DAC with IM3 < –62 dBc up to 3.6 GHz. IEEE Trans Circuits Syst II, 66, 768(2019).

    [60] Q T Huang, P A Francese, C Martelli et al. A 200MS/s 14b 97mW DAC in 0.18μm CMOS. 2004 IEEE International Solid-State Circuits Conference, 364(2004).

    [61] H T Jensen, I Galton. A low-complexity dynamic element matching DAC for direct digital synthesis. IEEE Trans Circuits Syst II, 45, 13(1998).

    [62] T. Kuo T. Lin W. A compact dynamic-performance-improved current-steering DAC with random rotation-based binary-weighted selection. IEEE J Solid-State Circuits, 47, 444(2012).

    [63]

    [64] G A M Van Der Plas, J Vandenbussche, W Sansen et al. A 14-bit intrinsic accuracy Q2 random walk CMOS DAC. IEEE J Solid-State Circuits, 34, 1708(1999).

    [65] W H Tseng, J T Wu, Y C Chu. A CMOS 8-bit 1.6-GS/s DAC with digital random return-to-zero. IEEE Trans Circuits Syst II, 58, 1(2011).

    [66] W H Tseng, C W Fan, J T Wu. A 12-Bit 1.25-GS/s DAC in 90 nm CMOS with > 70 dB SFDR up to 500 MHz. IEEE J Solid-State Circuits, 46, 2845(2011).

    [67]

    [68] L Q Lai, X Q Li, Y S Fu et al. Demystifying and mitigating code-dependent switching distortions in current-steering DACs. IEEE Trans Circuits Syst I, 66, 68(2019).

    Xing Li, Lei Zhou. A survey of high-speed high-resolution current steering DACs[J]. Journal of Semiconductors, 2020, 41(11): 111404
    Download Citation