• Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701006 (2024)
Xiang Wang, Jianjun He, Jialiang Wei, and Huie Zhu*
Author Affiliations
  • Zhangjiang Laboratory, Shanghai 201210, China
  • show less
    DOI: 10.3788/CJL231499 Cite this Article Set citation alerts
    Xiang Wang, Jianjun He, Jialiang Wei, Huie Zhu. Stochastics in EUV Lithography and Recent Research Status[J]. Chinese Journal of Lasers, 2024, 51(7): 0701006 Copy Citation Text show less
    References

    [1] Fujimori T. Recent status of the stochastic issues of photoresist materials in EUV lithography[J]. Journal of Photopolymer Science and Technology, 35, 35-40(2022).

    [2] Naulleau P, Anderson C, Chao W L et al. Stochastics and EUV patterning in the 1x-nm regime[J]. Journal of Photopolymer Science and Technology, 29, 797-802(2016).

    [3] Denbeaux G. EUV resist chemical stochastics and approaches to control it[J]. Proceedings of SPIE, 12498, 124980G(2023).

    [4] Pret A V, Graves T, Blankenship D et al. Comparative stochastic process variation bands for N7, N5, and N3 at EUV[J]. Proceedings of SPIE, 10583, 105830K(2018).

    [5] de Bisschop P. Stochastic printing failures in extreme ultraviolet lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 041011(2018).

    [6] Denbeaux G, Azhari N, Ai R W et al. Understanding the onset of EUV resist chemical stochastics[J]. Japanese Journal of Applied Physics, 62, SG0813(2023).

    [7] Melvin L S III, Welling U, Kandel Y et al. Applying stochastic simulation to study defect formation in EUV photoresists[J]. Japanese Journal of Applied Physics, 61, SD1030(2022).

    [8] Fukuda H. Stochastic defect generation in EUV lithography analyzed by spatially correlated probability model, reaction-limited and scattering-limited?[J]. Proceedings of SPIE, 11147, 1114716(2019).

    [9] Lim G, Lee K, Choi S et al. Organometallic and coordinative photoresist materials for EUV lithography and related photolytic mechanisms[J]. Coordination Chemistry Reviews, 493, 215307(2023).

    [10] Spiller E, Feder R, Topalian J. X-ray lithography and X-ray microscopy[J]. Physikalische Blätter, 32, 564-571(1976).

    [11] Spille E, Feder R, Queisser H J. X-ray lithography[M]. X-ray optics, 22, 35-92(1977).

    [12] Hutchinson J M. Shot-noise impact on resist roughness in EUV lithography[J]. Proceedings of SPIE, 3331, 531-536(1998).

    [13] Cameron J F, Chan N, Moore K et al. Comparison of acid-generating efficiencies in 248 and 193-nm photoresists[J]. Proceedings of SPIE, 4345, 106-118(2001).

    [14] Kozawa T, Tagawa S, Cao H B et al. Acid distribution in chemically amplified extreme ultraviolet resist[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 25, 2481-2485(2007).

    [15] Kozawa T, Tagawa S. Radiation chemistry in chemically amplified resists[J]. Japanese Journal of Applied Physics, 49, 030001(2010).

    [16] Brainard R, Hassanein E, Li J T et al. Photons, electrons, and acid yields in EUV photoresists: a progress report[J]. Proceedings of SPIE, 6923, 692325(2008).

    [17] Biafore J J, Smith M D, Mack C A et al. Statistical simulation of resist at EUV and ArF[J]. Proceedings of SPIE, 7273, 727343(2009).

    [18] Levinson H J. EUV resists[M]. Extreme ultraviolet lithography(2020).

    [19] Thakur N, Bliem R, Mochi I et al. Mixed-ligand zinc-oxoclusters: efficient chemistry for high resolution nanolithography[J]. Journal of Materials Chemistry C, 8, 14499-14506(2020).

    [20] Hien S, Rich G K, Molina G et al. Collapse behavior of single layer 193- and 157-nm resists: use of surfactants in the rinse to realize the sub-130-nm nodes[J]. Proceedings of SPIE, 4690, 254-261(2002).

    [21] Ober C K, Käfer F, Yuan C Y. Recent developments in photoresists for extreme-ultraviolet lithography[J]. Polymer, 280, 126020(2023).

    [22] Henke B L, Gullikson E M, Davis J C. X-ray interactions: photoabsorption, scattering, transmission, and reflection at E=50‒30, 000 eV, Z=1‒92[J]. Atomic Data and Nuclear Data Tables, 54, 181-342(1993).

    [23] Kwark Y J, Bravo-Vasquez J P, Chandhok M et al. Absorbance measurement of polymers at extreme ultraviolet wavelength: correlation between experimental and theoretical calculations[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 24, 1822-1826(2006).

    [24] Fallica R, Haitjema J, Wu L J et al. Absorption coefficient and exposure kinetics of photoresists at EUV[J]. Proceedings of SPIE, 10143, 101430A(2017).

    [25] Dai J Y, Ober C K, Wang L et al. Organoelement resists for EUV lithography[J]. Proceedings of SPIE, 4690, 1193-1202(2002).

    [26] Dai J Y, Ober C K. Novel resists with nontraditional compositions for EUV lithography[J]. Proceedings of SPIE, 5376, 508-516(2004).

    [27] Kwark Y J, Bravo-Vasquez J P, Cao H B et al. Silicon containing organic-inorganic hybrid materials as EUV photoresists[J]. Journal of Photopolymer Science and Technology, 18, 481-487(2005).

    [28] Bravo-Vasquez J P, Kwark Y J, Ober C K et al. Inorganic polymer resists for EUVL[J]. Proceedings of SPIE, 5753, 732-737(2005).

    [29] Kozawa T, Tagawa S, Oizumi H et al. Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resist[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 24, L27-L30(2006).

    [30] Kozawa T, Tagawa S, Santillan J J et al. Feasibility study of chemically amplified extreme ultraviolet resists for 22 nm fabrication[J]. Japanese Journal of Applied Physics, 47, 4465(2008).

    [31] Gallatin G M, Narasimhan A K, Brainard R L, Bakshi V et al. Photoresists for EUV lithography[M]. EUV Lithography(2018).

    [32] Sasaki T, Yokokoji O, Watanabe T et al. Development of partially fluorinated EUV-resist polymers for LER and sensitivity improvement[J]. Proceedings of SPIE, 6923, 692347(2008).

    [33] Yamamoto H, Kozawa T, Tagawa S et al. Enhancement of acid production in chemically amplified resist for extreme ultraviolet lithography[J]. Applied Physics Express, 1, 047001(2008).

    [34] Thackeray J W. Materials challenges for sub-20-nm lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 033009(2011).

    [35] Torti E, Protti S, Bollanti S et al. Aryl sulfonates as initiators for extreme ultraviolet lithography: applications in epoxy-based hybrid materials[J]. ChemPhotoChem, 2, 425-432(2018).

    [36] Wang M X, Gonsalves K E, Yueh W et al. Novel anionic photoacid generators (PAGs) and corresponding PAG bound polymers[J]. Macromolecular Rapid Communications, 27, 1590-1595(2006).

    [37] Goldfarb D L, Afzali-Ardakani A, Glodde M. Acid generation efficiency: EUV photons versus photoelectrons[J]. Proceedings of SPIE, 9779, 97790A(2016).

    [38] Yogesh M, Moinuddin M G, Chauhan M et al. Organoiodine functionality bearing resists for electron-beam and helium ion beam lithography: complex and sub-16 nm patterning[J]. ACS Applied Electronic Materials, 3, 1996-2004(2021).

    [39] Ku Y J, Park H B, Kim G et al. Use of highly EUV absorbing element in chemically amplified resist[J]. Proceedings of SPIE, 12498, 124981N(2023).

    [40] Peter J, Moinuddin M G, Ghosh S et al. Organotin in nonchemically amplified polymeric hybrid resist imparts better resolution and sensitivity for next-generation lithography[J]. ACS Applied Polymer Materials, 2, 1790-1799(2020).

    [41] Belmonte G K, Cendron S W, Reddy P G et al. Mechanistic insights of Sn-based non-chemically-amplified resists under EUV irradiation[J]. Applied Surface Science, 533, 146553(2020).

    [42] Yogesh M, Moinuddin M G, Khillare L D et al. Organotin bearing polymeric resists for electron beam lithography[J]. Microelectronic Engineering, 260, 111795(2022).

    [43] da Silva Moura C A, Belmonte G K, Reddy P G et al. EUV photofragmentation study of hybrid nonchemically amplified resists containing antimony as an absorption enhancer[J]. RSC Advances, 8, 10930-10938(2018).

    [44] Vesters Y, Jiang J, Yamamoto H et al. Sensitizers in extreme ultraviolet chemically amplified resists: mechanism of sensitivity improvement[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 043506(2018).

    [45] Kimoto T, Naruoka T, Nakagawa H et al. Novel EUV resist development for sub-14 nm half pitch[J]. Journal of Photopolymer Science and Technology, 28, 519-523(2015).

    [46] Moinuddin M G, Kumar R, Yogesh M et al. Functionalized Ag nanoparticles embedded in polymer resists for high-resolution lithography[J]. ACS Applied Nano Materials, 3, 8651-8661(2020).

    [47] Sekiguchi A, Harada T, Watanabe T. A study on enhancing EUV resist sensitivity[J]. Proceedings of SPIE, 10143, 1014322(2017).

    [48] Jiang J, de Simone D, Vandenberghe G. Difference in EUV photoresist design towards reduction of LWR and LCDU[J]. Proceedings of SPIE, 10146, 101460A(2017).

    [49] Yamamoto H, Vesters Y, Jiang J et al. Role of metal sensitizers for sensitivity improvement in EUV chemically amplified resist[J]. Journal of Photopolymer Science and Technology, 31, 747-751(2018).

    [50] Jiang J, Giordano G, Fallica R et al. Sensitizer for EUV chemically amplified resist: metal versus halogen[J]. Journal of Photopolymer Science and Technology, 32, 21-25(2019).

    [51] Xu H, Blackwell J M, Younkin T R et al. Underlayer designs to enhance the performance of EUV resists[J]. Proceedings of SPIE, 7273, 72731J(2009).

    [52] Fallica R, Stowers J K, Grenville A et al. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 033506(2016).

    [53] Manouras T, Argitis P. High sensitivity resists for EUV lithography: a review of material design strategies and performance results[J]. Nanomaterials, 10, 1593(2020).

    [54] Chen H, Chen P Z, Peng X J. Metal-based extreme ultraviolet photoresist[J]. CIESC Journal, 73, 3307-3325(2022).

    [55] Wang X L, Tao P P, Wang Q Q et al. Trends in photoresist materials for extreme ultraviolet lithography: a review[J]. Materials Today, 67, 299-319(2023).

    [56] Luo C Y, Xu C C, Lü L et al. Review of recent advances in inorganic photoresists[J]. RSC Advances, 10, 8385-8395(2020).

    [57] Fallica R, Haitjema J, Wu L J et al. Absorption coefficient of metal-containing photoresists in the extreme ultraviolet[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 023505(2018).

    [58] Mizoguchi H, Nakarai H, Usami Y et al. High-power LPP-EUV source for semiconductor HVM: lithography and other applications[J]. Proceedings of SPIE, 12292, 122920X(2022).

    [59] Naulleau P, Bhattarai S, Neureuther A. Understanding extreme stochastic events in EUV resists[J]. Journal of Photopolymer Science and Technology, 30, 695-701(2017).

    [60] Fujimori T. How to improve ‘chemical stochastic’ in EUV lithography?[C](2020).

    [61] Fujimori T. Recent status of EUV lithography, what is the stochastic issues?[C](2022).

    [62] Fujimori T. Recent status of the stochastic issues of photoresist materials in EUV lithography[C](2022).

    [63] de Bisschop P, Hendrickx E. Stochastic effects in EUV lithography[J]. Proceedings of SPIE, 10583, 105831K(2018).

    [64] Fukuda H. Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 013503(2019).

    [65] Fukuda H, Momonoi Y, Sakai K. Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 024002(2019).

    [66] Fukuda H. Stochasticity in extreme-ultraviolet lithography predicted by principal component analysis of Monte Carlo simulated event distributions in resist films[J]. Journal of Applied Physics, 132, 064905(2022).

    [67] Church J, Meli L, Guo J et al. Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 19, 034001(2020).

    [68] de Bisschop P. Stochastic effects in EUV lithography: random, local CD variability, and printing failures[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 16, 041013(2017).

    [69] de Simone D, Vesters Y, Vandenberghe G. The path to better understanding stochastics in EUV photoresist[J]. Journal of Photopolymer Science and Technology, 31, 651-655(2018).

    [70] Naulleau P, Gallatin G. Relative importance of various stochastic terms and EUV patterning[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 041015(2018).

    [71] Mack C A. Metrics for stochastic scaling in EUV lithography[J]. Proceedings of SPIE, 111470, 111470A(2019).

    [72] Tanaka J, Ishiguro T, Harada T et al. Resonant soft X-ray scattering for the stochastic origin analysis in EUV resist[J]. Journal of Photopolymer Science and Technology, 32, 327-331(2019).

    [73] Latypov A, Khaira G, Fenger G et al. Gaussian random field EUV stochastic models, their generalizations and lithographically meaningful stochastic metrics[J]. Proceedings of SPIE, 11609, 1160917(2021).

    [74] Fujimori T. Recent progress of EUV resist development for improving chemical stochastic[C](2023).

    [75] de Silva A, Meli L, Guo J et al. Patterning material challenges for improving EUV stochastics[J]. Journal of Photopolymer Science and Technology, 32, 169-177(2019).

    [76] Stowers J K, Telecky A, Kocsis M et al. Directly patterned inorganic hardmask for EUV lithography[J]. Proceedings of SPIE, 7969, 796915(2011).

    [77] Nagahara S, Carcasi M, Shiraishi G et al. Photosensitized chemically amplified resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure[J]. Proceedings of SPIE, 10146, 101460G(2017).

    [78] Shibayama W, Shigaki S, Takeda S et al. Advanced development of organic and inorganic under layers for EUV lithography (conference presentation)[J]. Proceedings of SPIE, 10809, 108090Y(2018).

    [79] de Silva A, Meli L, Guo J et al. Study of resist hardmask interaction through surface activation layers[J]. Proceedings of SPIE, 10809, 1080916(2018).

    [80] Goldfarb D L, Kumar B. Aqueous developers for positive tone ultrathin chemically amplified EUV resists[J]. Proceedings of SPIE, 10586, 1058604(2018).

    [81] Ito H. Development of new advanced resist materials for microlithography[J]. Journal of Photopolymer Science and Technology, 21, 475-491(2008).

    [82] Nakagawa H, Naruoka T, Nagai T. Recent EUV resists toward high volume manufacturing[J]. Journal of Photopolymer Science and Technology, 27, 739-746(2014).

    [83] Kozawa T, Santillan J J, Itani T. Stochastic effects in fabrication of 11 nm line-and-space patterns using extreme ultraviolet lithography[J]. Proceedings of SPIE, 9048, 904806(2014).

    [84] de Silva A, Felix N M, Ober C K. Molecular glass resists as high-resolution patterning materials[J]. Advanced Materials, 20, 3355-3361(2008).

    [85] Park J, Lee S G, Vesters Y et al. Molecular modeling of EUV photoresist revealing the effect of chain conformation on line-edge roughness formation[J]. Polymers, 11, 1923(2019).

    [86] Kaefer F, Meng Z, Segalman R et al. Controlled sequence photoresists from polypeptoids[J]. Journal of Photopolymer Science and Technology, 35, 29-33(2022).

    [87] Hinsberg W D, Wallraff G M, Sanchez M I et al. Contribution of EUV resist counting statistics to stochastic printing failures[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 20, 014603(2021).

    [88] Vogt B D, Kang S H, Prabhu V M et al. Influence of base additives on the reaction-diffusion front of model chemically amplified photoresists[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 25, 175(2007).

    [89] Tarutani S, Nihashi W, Hirano S et al. Negative tone imaging process and materials for EUV lithography[J]. Journal of Photopolymer Science and Technology, 26, 599-604(2013).

    [90] Fujimori T, Tsuchihashi T, Itani T. Recent progress of negative-tone imaging process and materials with EUV exposure[J]. Journal of Photopolymer Science and Technology, 28, 485-488(2015).

    [91] Oh C, Kim J, Heo J et al. Comparison of EUV patterning between PTD and NTD for 2Xnm DRAM[J]. Journal of Photopolymer Science and Technology, 25, 593-596(2012).

    [92] Oh C, Seo H, Park E et al. Comparison of EUV patterning performance between PTD and NTD for 1Xnm DRAM[J]. Proceedings of SPIE, 9048, 904808(2014).

    [93] Shigaki S, Takeda S, Shibayama W et al. DDR process and materials for NTD photo resist in EUV lithography[J]. Proceedings of SPIE, 10450, 104500N(2017).

    [95] Maas R, van Lare M C, Rispens G et al. Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 041003(2018).

    [96] Mack C. The year of stochastics[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 020101(2018).

    [97] Mack C A, Matsuzawa T, Sekiguchi A et al. Resist metrology for lithography simulation, part I: exposure parameter measurements[J]. Proceedings of SPIE, 2725, 34-48(1996).

    [98] Dill F H, Hornberger W P, Hauge P S et al. Characterization of positive photoresist[J]. IEEE Transactions on Electron Devices, 22, 445-452(1975).

    [99] Sekiguchi A, Mack C A, Minami Y et al. Resist metrology for lithography simulation, part 2: development parameter measurements[J]. Proceedings of SPIE, 2725, 49-63(1996).

    [100] Minami Y, Sekiguchi A. Defocus simulation using observed dissolution rate in photolithography[J]. Electronics and Communications in Japan, 76, 106-115(1993).

    [101] Bernard D A. Simulation of post exposure bake effects on photolithographic performance of a resist film[J]. Philips Journal of Research, 42, 566-582(1987).

    [102] Mack C A. Inside PROLITH: a comprehensive guide to optical lithography simulation[EB/OL]. https:∥www.lithoguru.com/scientist/litho_papers/Inside_PROLITH.pdf

    [103] Miller-Chou B A, Koenig J L. A review of polymer dissolution[J]. Progress in Polymer Science, 28, 1223-1270(2003).

    [104] Hasko D G, Yasin S, Mumtaz A. Influence of developer and development conditions on the behavior of high molecular weight electron beam resists[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 18, 3441-3444(2000).

    [105] Brainard R L, Trefonas P, Lammers J H et al. Shot noise, LER, and quantum efficiency of EUV photoresists[J]. Proceedings of SPIE, 5374, 74-85(2004).

    [106] Ma Y S, Shin J, Cerrina F. Line edge roughness and photoresist percolation development model[J]. Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, 21, 112-117(2003).

    [107] Houle F A, Hinsberg W D, Sanchez M I. Kinetic model for positive tone resist dissolution and roughening[J]. Macromolecules, 35, 8591-8600(2002).

    [108] Schmid G M, Stewart M D, Burns S D et al. Mesoscale Monte Carlo simulation of photoresist processing[J]. Journal of the Electrochemical Society, 151, G155(2004).

    [110] Mack C A. Stochastic approach to modeling photoresist development[J]. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, 27, 1122-1128(2009).

    [111] Vaglio Pret A, Graves T, Blankenship D et al. Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists[J]. Proceedings of SPIE, 10146, 1014609(2017).

    [112] Kozawa T, Santillan J J, Itani T. Analysis of stochastic effect in line-and-space resist patterns fabricated by extreme ultraviolet lithography[J]. Applied Physics Express, 6, 026502(2013).

    [113] Bespalov I, Zhang Y, Haitjema J et al. Key role of very low energy electrons in tin-based molecular resists for extreme ultraviolet nanolithography[J]. ACS Applied Materials & Interfaces, 12, 9881-9889(2020).

    [114] Thackeray J W. Stochastic exposure kinetics of extreme ultraviolet photoresists: simulation study[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 10, 033019(2011).

    [115] Biafore J J, Smith M D, Mack C A et al. Statistical simulation of photoresists at EUV and ArF[J]. Proceedings of SPIE, 7273, 727343(2009).

    [116] Mack C A. Shot noise: a 100-year history, with applications to lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 17, 041002(2018).

    [117] Bristol R L, Krysak M E. Lithographic stochastics: beyond 3σ[J]. Proceedings of SPIE, 10143, 101430Z(2017).

    [118] Fukuda H. Analysis of line edge roughness using probability process model for chemically amplified resists[J]. Japanese Journal of Applied Physics, 42, 3748-3754(2003).

    [119] Sanchez M I, Wallraff G M, Megiddo N et al. An analysis of EUV resist stochastic printing failures[J]. Proceedings of SPIE, 11147, 1114717(2019).

    [120] Fukuda H. Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 19, 024601(2020).

    [121] Matsumoto M, Nishimura T. Mersenne twister: a 623-dimensionally equidistributed uniform pseudo-random number generator[J]. ACM Transactions on Modeling and Computer Simulation, 8, 3-30(1998).

    [122] Kim M, Moon J, Choi J et al. Computational approach on PEB process in EUV resist: multi-scale simulation[J]. Proceedings of SPIE, 10143, 101432E(2017).

    [123] Shin H, Chang S, Jeong J et al. Stochastic homogenization of nano-thickness thin films including patterned holes using structural perturbation method[J]. Probabilistic Engineering Mechanics, 49, 1-12(2017).

    [124] Kim M, Moon J, Choi J et al. Multiscale simulation approach on sub-10 nm extreme ultraviolet photoresist patterning: insights from nanoscale heterogeneity of polymer[J]. Macromolecules, 51, 6922-6935(2018).

    [125] Choi J, Shin H, Cho M. Multiscale multiphysical analysis of photo-mechanical properties of interphase in light-responsive polymer nanocomposites[J]. Composites Science and Technology, 160, 32-41(2018).

    [126] Moon J, Kim B, Choi J et al. Multiscale study of the relationship between photoisomerization and mechanical behavior of azo-polymer based on the coarse-grained molecular dynamics simulation[J]. Macromolecules, 52, 2033-2049(2019).

    [127] Lee H, Kim M, Moon J et al. Multiscale approach for modeling EUV patterning of chemically amplified resist[J]. Proceedings of SPIE, 10960, 1096008(2019).

    [128] Kim M, Moon J, Park S et al. Selective dissolution resistance control of EUV photoresist using multiscale simulation: rational design of hybrid system[J]. Macromolecules, 53, 4748-4763(2020).

    [129] Park S, Lee H, Kim M et al. Multiscale simulations for exploring photo-chemical processes to mitigate the critical dimension variability of contact holes in EUV lithography[J]. Journal of Materials Chemistry C, 9, 8189-8203(2021).

    [130] Kim M, Park S, Choi J et al. Tailoring polymer microstructure for the mitigation of the pattern collapse in sub-10 nm EUV lithography: multiscale simulation study[J]. Applied Surface Science, 536, 147514(2021).

    [131] Prajwal B P, Blackwell J M, Theofanis P et al. Atomistic modeling approach for predicting association of photoacid generators in extreme ultraviolet polymeric photoresists[J]. Chemistry of Materials, 35, 9050-9063(2023).

    [132] Erdmann A, Li S K[M]. Optical and EUV lithography: a modeling perspective, 269-284(2023).

    [133] Ekinci Y, Vockenhuber M, Hojeij M et al. Evaluation of EUV resist performance with interference lithography towards 11 nm half-pitch and beyond[J]. Proceedings of SPIE, 8679, 867910(2013).

    [134] Fan D, Ekinci Y. Photolithography reaches 6 nm half-pitch using extreme ultraviolet light[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 15, 033505(2016).

    [135] Wang X L, Tasdemir Z, Mochi I et al. Progress in EUV resists towards high-NA EUV lithography[J]. Proceedings of SPIE, 10957, 109570A(2019).

    [136] Wang X L, Tseng L T, Allenet T et al. Progress in EUV resists status towards high-NA EUV lithography[J]. Proceedings of SPIE, 11323, 113230C(2020).

    [137] Allenet T P, Vockenhuber M, Yeh C K et al. Progress in EUV resist screening by interference lithography for high-NA lithography[J]. Proceedings of SPIE, 11854, 118540N(2021).

    [138] Allenet T P, Wang X L, Vockenhuber M et al. Progress in EUV-interference lithography resist screening towards the deployment of high-NA lithography[J]. Proceedings of SPIE, 11609, 116090J(2021).

    [139] Allenet T P, Vockenhuber M, Yeh C K et al. EUV resist screening update: progress towards high-NA lithography[J]. Proceedings of SPIE, 12055, 120550F(2022).

    [140] Develioglu A, Allenet T P, Vockenhuber M et al. The EUV lithography resist screening activities in H2-2022[J]. Proceedings of SPIE, 12498, 1249805(2023).

    [141] Ito H, Willson C G. Chemical amplification in the design of dry developing resist materials[J]. Polymer Engineering & Science, 23, 1012-1018(1983).

    [142] Hosoi K, Cardineau B, Kruger S et al. Fluorine-stabilized acid amplifiers for use in EUV lithography[J]. Journal of Photopolymer Science and Technology, 25, 575-581(2012).

    [143] Arimitsu K, Yonekura M, Furutani M. Acid-amplifying polymers: synthesis, characterization, and application to environmentally stable chemical amplification positive (ESCAP) resists[J]. RSC Advances, 5, 80311-80317(2015).

    [144] Kozawa T. Optimum concentration ratio of photodecomposable quencher to acid generator in chemically amplified extreme ultraviolet resists[J]. Japanese Journal of Applied Physics, 54, 126501(2015).

    [145] Popescu C, Frommhold A, McClelland A et al. Sensitivity enhancement of the high-resolution xMT multi-trigger resist for EUV lithography[J]. Proceedings of SPIE, 10143, 101430V(2017).

    [146] O’Callaghan G, Popescu C, McClelland A et al. Multi-trigger resist: novel synthesis improvements for high resolution EUV lithography[J]. Proceedings of SPIE, 10960, 109600C(2019).

    [147] Tagawa S, Oshima A, Enomoto S et al. High-resist sensitization by pattern and flood combination lithography[J]. Proceedings of SPIE, 9048, 90481S(2014).

    [148] Nagai T, Nakagawa H, Naruoka T et al. Novel high sensitivity EUV photoresist for sub-7 nm node[J]. Proceedings of SPIE, 9779, 977908(2016).

    [149] Raley A, Huli L, Grzeskowiak S et al. Outlook for high-NA EUV patterning: a holistic patterning approach to address upcoming challenges[J]. Proceedings of SPIE, 12056, 120560A(2022).

    [150] Passarelli J, Murphy M, Del Re R et al. High-sensitivity molecular organometallic resist for EUV (MORE)[J]. Proceedings of SPIE, 9425, 94250T(2015).

    [151] Kim S, Kim I H, Ryu H et al. An investigation on the process control for the solid application of EUV MOR[J]. Proceedings of SPIE, 12494, 124940V(2023).

    [152] Huli L, Kato K, Gueci S et al. Optimization of spin-on metal oxide resist performance via new development techniques on sub-30 nm pitch patterning[J]. Proceedings of SPIE, 12498, 1249822(2023).

    [153] Kato K, Huli L, Antonovich N et al. Coater/developer-based techniques to achieve tight pitches towards high-NA EUV[J]. Proceedings of SPIE, 12498, 1249821(2023).

    [154] Guo X D, Yang G Q, Li Y. Development of extreme ultraviolet photoresists[J]. Laser & Optoelectronics Progress, 59, 0922004(2022).

    [155] Li Y L, Liu X H, Wu Q. Evolution and updates of advanced photolithography technology[J]. Laser & Optoelectronics Progress, 59, 0922006(2022).

    [156] Singh G. Continuing Moore’s law with next-gen DSA[J]. Proceedings of SPIE, PC12497, PC124970D(2023).

    Xiang Wang, Jianjun He, Jialiang Wei, Huie Zhu. Stochastics in EUV Lithography and Recent Research Status[J]. Chinese Journal of Lasers, 2024, 51(7): 0701006
    Download Citation