• Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701006 (2024)
Xiang Wang, Jianjun He, Jialiang Wei, and Huie Zhu*
Author Affiliations
  • Zhangjiang Laboratory, Shanghai 201210, China
  • show less
    DOI: 10.3788/CJL231499 Cite this Article Set citation alerts
    Xiang Wang, Jianjun He, Jialiang Wei, Huie Zhu. Stochastics in EUV Lithography and Recent Research Status[J]. Chinese Journal of Lasers, 2024, 51(7): 0701006 Copy Citation Text show less
    Photoresist exposure process and origin of EUV stochastics in different lithography processes
    Fig. 1. Photoresist exposure process and origin of EUV stochastics in different lithography processes
    Differences between traditional and stochastic failures[5]. (a) Stochastic failures; (b) traditional types of defects
    Fig. 2. Differences between traditional and stochastic failures[5]. (a) Stochastic failures; (b) traditional types of defects
    Scheme showing the radiation chemistry mechanisms of EUV resists[14-15]
    Fig. 3. Scheme showing the radiation chemistry mechanisms of EUV resists[14-15]
    Absorption cross sections of elements (Z=1‒86) at EUV[24]
    Fig. 4. Absorption cross sections of elements (Z=1‒86) at EUV[24]
    Line-edge roughness (LER) values after the entire CAR patterning process in low Tg and high Tg[85]. (a) LER as a function of the protected site ratio fp when the number of beads N=64; (b) LER as a function of the number of beads N at fixed fp=0.5; (c) 3D image of residual polymer from (a) for low Tg resist; (d) 3D image of residual polymer from (b) for low Tg resist
    Fig. 5. Line-edge roughness (LER) values after the entire CAR patterning process in low Tg and high Tg[85]. (a) LER as a function of the protected site ratio fp when the number of beads N=64; (b) LER as a function of the number of beads N at fixed fp=0.5; (c) 3D image of residual polymer from (a) for low Tg resist; (d) 3D image of residual polymer from (b) for low Tg resist
    Sequence controlled resists based on polypeptoid [86]. (a) Scheme showing monomer structures and polymer sequence; (b) patterns produced from polypeptoid of different sequences under DUV exposure
    Fig. 6. Sequence controlled resists based on polypeptoid [86]. (a) Scheme showing monomer structures and polymer sequence; (b) patterns produced from polypeptoid of different sequences under DUV exposure
    Concept and lithographic results of novel functionalized materials[74]
    Fig. 7. Concept and lithographic results of novel functionalized materials[74]
    Defect analysis for BQ variation[75]. (a) Total post litho defect density with e-beam; (b) nanobridge defect density; (c) line break defect density
    Fig. 8. Defect analysis for BQ variation[75]. (a) Total post litho defect density with e-beam; (b) nanobridge defect density; (c) line break defect density
    Process flow of PTD (positive-tone development) and NTI (negative-tone imaging) lithography[89-90]
    Fig. 9. Process flow of PTD (positive-tone development) and NTI (negative-tone imaging) lithography[89-90]
    Process windows for line/space between EUV PTD and EUV NTD[92]
    Fig. 10. Process windows for line/space between EUV PTD and EUV NTD[92]
    Distribution of light intensity on high reflective substrate and a baking step smoothens this concentration pattern by diffusion (from the left to the right shows the chronological sequence of a numerical modelling)[94]
    Fig. 11. Distribution of light intensity on high reflective substrate and a baking step smoothens this concentration pattern by diffusion (from the left to the right shows the chronological sequence of a numerical modelling)[94]
    Simulation results of LCDU (3σ) variation of 20 nm half pitch (HP) dense hole pattern with cluster diameter (same imaging conditions)[95]
    Fig. 12. Simulation results of LCDU (3σ) variation of 20 nm half pitch (HP) dense hole pattern with cluster diameter (same imaging conditions)[95]
    Schematic of the fully coupled Monte Carlo simulation for calculating reaction distributions in resist films[120]
    Fig. 13. Schematic of the fully coupled Monte Carlo simulation for calculating reaction distributions in resist films[120]
    Stochastic effect analysis based on Monte Carlo method[15,120]. (a) Monte Carlo simulated photon adsorption (red ball), secondary electron generation (blue ball), and acid-induced deprotection reaction (green dot) in DUV and EUV CAR; (b) probability model of the stochastic effect for statistical analysis
    Fig. 14. Stochastic effect analysis based on Monte Carlo method[15,120]. (a) Monte Carlo simulated photon adsorption (red ball), secondary electron generation (blue ball), and acid-induced deprotection reaction (green dot) in DUV and EUV CAR; (b) probability model of the stochastic effect for statistical analysis
    Framework of the multiscale computation simulation model[124]. (a) Density functional theory (DFT), molecular dynamics (MD), and finite difference method (FDM) combined computational study of the exposure process of EUV photoresist materials; (b) simulating the effect of PEB time on exposed morphology
    Fig. 15. Framework of the multiscale computation simulation model[124]. (a) Density functional theory (DFT), molecular dynamics (MD), and finite difference method (FDM) combined computational study of the exposure process of EUV photoresist materials; (b) simulating the effect of PEB time on exposed morphology
    NameStructureFormula

    Density /

    (g·cm-3

    Calculated linear absorption

    coefficient /μm-1

    Measured linear absorption

    coefficient /μm-1

    PMMAC5H8O21.185.195.03
    PNBC7H100.922.552.58
    PStC8H81.052.952.70
    PHOStC8H8O1.164.053.88
    PTMSStC11H16Si1.142.782.14
    PMPSC7H8Si1.122.602.82
    PPSSQC24H20Si4O41.504.524.45
    PAFC15H14O3F121a6.9710.75
    Table 1. Structure, density and linear absorption coefficient of selected polymers[23]
    Xiang Wang, Jianjun He, Jialiang Wei, Huie Zhu. Stochastics in EUV Lithography and Recent Research Status[J]. Chinese Journal of Lasers, 2024, 51(7): 0701006
    Download Citation