• Chinese Journal of Lasers
  • Vol. 51, Issue 7, 0701006 (2024)
Xiang Wang, Jianjun He, Jialiang Wei, and Huie Zhu*
Author Affiliations
  • Zhangjiang Laboratory, Shanghai 201210, China
  • show less
    DOI: 10.3788/CJL231499 Cite this Article Set citation alerts
    Xiang Wang, Jianjun He, Jialiang Wei, Huie Zhu. Stochastics in EUV Lithography and Recent Research Status[J]. Chinese Journal of Lasers, 2024, 51(7): 0701006 Copy Citation Text show less

    Abstract

    Significance

    Extreme ultraviolet (EUV) lithography is the most advanced photolithography technology used in semiconductor device fabrication to fabricate integrated circuits (ICs), providing a guarantee for a 3 nm node that is currently in mass production. Random defect in EUV lithography is a key problem that limits yield improvement. The extremely reduced feature size places much higher requirements on the regularity of the chemical structure of the photoresist components. However, chemical stochastics, such as unavoidable chemical inhomogeneity in the photoresist components and uneven photoacid diffusion distance during the post-exposure baking process, always cause printing defects, which present severe challenges for the development of next-generation photoresist materials. Meanwhile, the EUV light source has a shorter wavelength (13.5 nm), resulting in a very low photon density, which is only 1/14 of that of the light source (193 nm) used in ArF lithography. Therefore, the photon-shot noise increases by several times, leading to the inevitable problem of photon stochastics. Owing to these problems, higher requirements have been proposed for the molecular size and system uniformity of the EUV photoresist material system compared to the previous generation of photolithography materials. This article presents a systematic review of the research development on the above-mentioned stochastic issues in EUV photoresists in recent years by focusing on the current research status in three aspects: chemical stochastics, photon stochastics, and computational simulation methods used to clarify the stochastic issues in EUV lithography.

    Progress

    As the minimum feature sizes continue to shrink in EUV lithography, stochastic defects have become the “black swans” of yield loss as stochastic phenomena scales as one over the feature size cubed. Ultimately, stochastic effects originate from the fact that both light (EUV photons) and matter (resist materials) are discrete particles with finite numbers that interact with each other in discrete volumes. Thus, the two main sources of EUV stochastics are chemical and photon stochastics, which can be observed throughout the lithography process (Fig.1). “Traditional” types of defects often come from resist residue or in-film particles and will cause repeating printing failures in a large area. By contrast, stochastic defects nearly always affect only a single feature (Fig.2).

    Photon stochastics-induced shot noise has been observed for several decades. However, it is much more severe in EUV lithography than in previous lithography methods because the energy of the EUV photons is significantly higher, changing the exposure mechanism from photochemistry to radiation chemistry (Fig.3). Despite increasing the light source efficiency, the main method for reducing photon stochastics is to enhance the EUV absorption of the resist. In chemically amplified resists, this is achieved by introducing elements with high EUV absorption cross-sections in the polymer backbones or side chains in PAGs or as sensitizers (Fig.4 and Table 1). Owing to the high EUV absorption of metal atoms and higher densities compared to polymer-based resists, metal oxide resists display intense EUV absorption and have received significant attention in recent years.

    A large portion of chemical stochastics originates from the random behavior of resist materials. Chemically amplified resists, the mainstream EUV resists used today, are based on a multicomponent system that includes a polymer, a photoacid generator, and a quencher. Ober et al. and Park et al. pointed out that polymers with small weight-average molecular weight and low polydispersity can effectively reduce chemical stochastics (Fig.5). The control of the concentration of PAG (Fig.6) and quencher (Fig.8) and their uniformity in the resist film (Fig.7), as well as the development method (Figs.9?10) and post-exposure baking temperature (Fig.11), are also critical for reducing the LER and defectivity caused by chemical stochastics. Although the composition of the metal oxide resist is simpler than that of the chemically amplified resist, special attention should be paid to its size and uniformity (Fig.12).

    Simulations are important in research on stochastic effects because of their advantages in studying mechanisms at the nanometer scale. Physical model-based simulations of stochastic effects are intuitive in nature and include dissolution, analytic, and critical ionization models. These models are the most widely used in both academia and industry. Another emerging method is the Monte Carlo simulation of stochastic effects. The random numbers generated by Monte Carlo sampling demonstrate the ability to simulate reactions with a certain probability. Figures 13 and 14 illustrate the procedure for using this simulation method. Finally, a framework combined density functional theory, molecular dynamics, and finite difference method simulation lays the foundation for a first-principles study of stochastic effects in photoresists. Figure 15 shows how this workflow can simulate and calculate the morphology of the exposed photoresist, which is accurate because it is not limited by empirical parameters.

    Conclusions and Prospects

    This article presents the recent research on stochastic issues in EUV lithography, focusing on chemical stochastics, photon stochastics, and stochastic simulations/models. Photon stochastics is a well-known issue, and it scales with the square root of dose. This can be reduced by incorporating elements with high EUV absorbance into photoresist materials. However, chemical stochastics has been overlooked for a long time and has only been under intense investigation in recent years. Owing to the complex exposure and development mechanisms in EUV lithography and the limitations of the detection methods, such stochastic problems are difficult to identify, classify, and clarify. Fortunately, researchers can rely on various simulation and modeling methods to advance the fundamental understanding of stochastic phenomena in EUV lithography. Stochastic issues have been and will continue to be a key problem limiting the capability of EUV lithography, and hopefully, with a deeper understanding, we can find better ways to reduce them.

    Xiang Wang, Jianjun He, Jialiang Wei, Huie Zhu. Stochastics in EUV Lithography and Recent Research Status[J]. Chinese Journal of Lasers, 2024, 51(7): 0701006
    Download Citation