• Laser & Optoelectronics Progress
  • Vol. 61, Issue 21, 2100001 (2024)
Futian Wang*, Juan Wei, Cuixiang Wang, Miao Jiang..., Yu Mu, Chunlong Yu, Ruihua Liu, Fu Li, Jingjing Fan, Jinlai Liu, Jingkang Qin, Enqiang Tian, Song Sun, Chong Wang, Xiaonan Liu, Hao Yang, Di Liang, Binbin Yan, Liang Li, Qingchen Cao and Jiangliu Shi|Show fewer author(s)
Author Affiliations
  • Lithography Research and Development Department, Beijing Superstring Academy of Memory Technology, Beijing 100176, China
  • show less
    DOI: 10.3788/LOP241676 Cite this Article Set citation alerts
    Futian Wang, Juan Wei, Cuixiang Wang, Miao Jiang, Yu Mu, Chunlong Yu, Ruihua Liu, Fu Li, Jingjing Fan, Jinlai Liu, Jingkang Qin, Enqiang Tian, Song Sun, Chong Wang, Xiaonan Liu, Hao Yang, Di Liang, Binbin Yan, Liang Li, Qingchen Cao, Jiangliu Shi. Progress in Inverse Lithography Technology[J]. Laser & Optoelectronics Progress, 2024, 61(21): 2100001 Copy Citation Text show less
    References

    [1] Wei Y Y[M]. Theory and application of advanced lithography for VLSI(2016).

    [2] Mack C[M]. Fundamental principles of optical lithography(2007).

    [3] Wong A K K[M]. Resolution enhancement techniques in optical lithography(2001).

    [4] Otto O, Garofalo J, Low K et al. Automated optical proximity correction: a rules-based approach[J]. Proceedings of SPIE, 2197, 278-293(1994).

    [5] Ma X[M]. Computational lithography, 37-47(2010).

    [6] Wei Y Y, Li Y J, Dong L S et al[M]. Computational lithography and layout optimization, 107-109(2021).

    [7] Peng D P, Wu S J, Yu J C et al. Curvilinear mask: bridging ILT to HVM[J]. Proceedings of SPIE, 12495, 124950I(2023).

    [8] Pang L L. Inverse lithography technology: 30 years from concept to practical, full-chip reality[J]. Journal of Micro/Nanopatterning, 20, 030901(2021).

    [9] Saleh B E, Sayegh S I. Reduction of errors of microphotographic reproductions by optimal corrections of original masks[J]. Optical Engineering, 20, 205781(1981).

    [10] Liu Y, Zakhor A. Optimal binary image design for optical lithography[J]. Proceedings of SPIE, 1264, 401-412(1990).

    [11] Bukofsky S. Optimum mask and source patterns to print a given shape[J]. Journal of Micro/Nanolithography, 1, 13(2002).

    [12] Osher S, Sethian J A. Fronts propagating with curvature-dependent speed: algorithms based on Hamilton-Jacobi formulations[J]. Journal of Computational Physics, 79, 12-49(1988).

    [13] Abrams D S, Pang L Y. Fast inverse lithography technology[J]. Proceedings of SPIE, 6154, 61541J(2006).

    [14] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, 5, 043002(2006).

    [15] Granik Y. On the uniqueness of optical images and solutions of inverse lithographical problems[J]. Journal of Micro/Nanolithography, 8, 031405(2009).

    [16] Borodovsky Y, Cheng W H, Schenker R et al. Pixelated phase mask as novel lithography RET[J]. Proceedings of SPIE, 6924, 69240E(2008).

    [17] Singh V, Hu B, Toh K et al. Making a trillion pixels dance[J]. Proceedings of SPIE, 6924, 69240S(2008).

    [18] Cheng W H, Farnsworth J, Kwok W et al. Fabrication of defect-free full-field pixelated phase mask[J]. Proceedings of SPIE, 6924, 69241G(2008).

    [19] Schenker R, Bollepalli S, Hu B et al. Integration of pixelated phase masks for full-chip random logic layers[J]. Proceedings of SPIE, 6924, 69240I(2008).

    [20] Pang L Y, Dai G, Cecil T et al. Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes[J]. Proceedings of SPIE, 6924, 69240T(2008).

    [21] Xiao G M, Irby D, Cecil T et al. Affordable and process window increasing full chip ILT masks[J]. Proceedings of SPIE, 7823, 78233T(2010).

    [22] Pang L Y, Peng D P, Hu P et al. Optimization from design rules, source and mask, to full chip with a single computational lithography framework: level-set-methods-based inverse lithography technology (ILT)[J]. Proceedings of SPIE, 7640, 76400O(2010).

    [23] Torunoglu I, Karakas A, Elsen E et al. A GPU-based full-chip inverse lithography solution for random patterns[J]. Proceedings of SPIE, 7641, 764115(2010).

    [24] Sim W, Jung S, Lee H J et al. Hotspot fixing using ILT[J]. Proceedings of SPIE, 7973, 79731L(2011).

    [25] Selinidis K, Hoppe W, Schmoeller T et al. Resist 3D aware mask solution with ILT for hotspot repair[J]. Proceedings of SPIE, 10147, 101470Q(2017).

    [26] Tritchkov A, Kobelkov S, Rodin S et al. Use of ILT-based mask optimization for local printability enhancement[J]. Proceedings of SPIE, 9256, 92560X(2014).

    [27] Hooker K, Lucas K, Küchler B et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 10446, 1044604(2017).

    [28] Braam K, Selinidis K, Hoppe W et al. EUV mask synthesis with rigorous ILT for process window improvement[J]. Proceedings of SPIE, 10962, 109620P(2019).

    [29] Su J, Zhang Q, Fong W et al. Machine learning assisted SRAF placement for full chip[J]. Proceedings of SPIE, 10451, 104510D(2017).

    [30] Wang S B, Baron S, Kachwala N et al. Efficient full-chip SRAF placement using machine learning for best accuracy and improved consistency[J]. Proceedings of SPIE, 10587, 105870N(2018).

    [31] Pang L Y, Russell E V, Baggenstoss B et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day[J]. Proceedings of SPIE, 11148, 111480U(2019).

    [32] Klein C, Platzgummer E. MBMW-101: world’s 1st high-throughput multi-beam mask writer[J]. Proceedings of SPIE, 9985, 998505(2016).

    [33] Matsumoto H, Inoue H, Yamashita H et al. Multi-beam mask writer MBM-1000 and its application field[J]. Proceedings of SPIE, 9984, 998405(2016).

    [34] Pang L Y, Ungar J, Bouaricha A et al. TrueMask® ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i[J]. Proceedings of SPIE, 11327, 113270K(2020).

    [35] Pang L L, Russell E V, Baggenstoss B et al. Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications[J]. Proceedings of SPIE, 11518, 115180W(2020).

    [36] Zhang J Y, Xiong W, Xiong W et al. A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique[C], 480-487(10).

    [37] Zhang J, Deng Y, Xiong W et al. GPU-accelerated inverse lithography technique[J]. Proceedings of SPIE, 7379, 73790Z(2009).

    [38] Li Y H, Shi Z, Geng Z et al. A new algorithm of inverse lithography technology for mask complexity reduction[J]. Chinese Journal of Semiconductors, 33, 045009(2012).

    [39] Luo K S, Shi Z, Yan X L et al. SVM based layout retargeting for fast and regularized inverse lithography[J]. Journal of Zhejiang University-Science C(Computers & Electronics), 15, 390-400(2014).

    [40] Geng Z, Shi Z, Yan X L et al. Fast level-set-based inverse lithography algorithm for process robustness improvement and its application[J]. Journal of Computer Science and Technology, 30, 629-638(2015).

    [41] Zhang S, Ma X, Zhang J. Fast inverse lithography approach based on a model-driven graph convolutional network[J]. Optics Express, 31, 36451-36467(2023).

    [42] Cao Q, Xu P, Sun S et al. Curvilinear mask optimization with refined generative adversarial nets[J]. Journal of Micro/Nanopatterning, 22, 013201(2023).

    [43] Wang F, Sun S, Yu C et al. Quantitative study of local MEEF of 2D mask corrected by inverse lithography technology[C], 2751, 1275117(2023).

    [44] Jia N, Lam E Y. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis[J]. Journal of Optics, 12, 045601(2010).

    [46] Hung C Y, Zhang B, Guo E et al. Pushing the lithography limit-applying inverse lithography[J]. Proceedings of SPIE, 6154, 61541M(2006).

    [47] Kim B G, Suh S S, Kim B S et al. Trade-off between inverse lithography mask complexity and lithographic performance[J]. Proceedings of SPIE, 7379, 73791M(2009).

    [48] Chen Y Y, Chang K H, Cheng W L et al. Curvilinear mask handling in OPC flow[J]. Proceedings of SPIE, 12495, 1249505(2023).

    [49] Hooker K, Xiao G M, Tang Y P et al. Curvilinear mask solutions for full-chip EUV lithography[J]. Proceedings of SPIE, 12054, 1205407(2022).

    [50] Hooker K, Xiao G M, Tang Y P et al. Enhancing mask synthesis for curvilinear masks in full-chip extreme ultraviolet lithography[J]. Journal of Micro/Nanopatterning, 22, 041606(2023).

    [51] Gharat S, Durvasula B, Pai R et al. A study on various curvilinear data representations and their impact on mask manufacturing flow[J]. Proceedings of SPIE, 11613, 116130B(2021).

    [52] Hu J N, Lyons A, Spence C et al. Curvilinear data representation and its impact on file size and lithographic performance[J]. Journal of Micro/Nanopatterning, 23, 011204(2024).

    [53] Choi J, Ryu S, Lee S et al. Curvilinear data format working group for MBMW era[J]. Proceedings of SPIE, 11610, 116100S(2021).

    [54] Semiconductor Equipment & Materials Institute. SEMI P49-specification for experimental curvilinear multigon extension to SEMI P39[EB/OL]. https://store-us.semi.org/products/p04900-semi-p49-specification-for-experimental-curvilinear-multigonextension-to-semi-p39

    [55] Venitucci B, Bougron J F, Schuch N G et al. B-spline and Bézier curvilinear representations: a comparative discussion[J]. Proceedings of SPIE, 12956, 129560X(2024).

    [56] Bork I, Tritchkov A, Shang S et al. MRC for curvilinear mask shapes[J]. Proceedings of SPIE, 11518, 115180R(2020).

    [57] Pearman R, Ungar P J, Shirali N et al. Adopting curvilinear shapes for production ILT: challenges and opportunities[J]. Proceedings of SPIE, 11148, 111480T(2019).

    [58] Liang H K, Duan H G. Electron beam lithography system: progress and outlook[J]. Science & Technology Review, 40, 33-44(2022).

    [59] Chang T H P. Proximity effect in electron-beam lithography[J]. Journal of Vacuum Science and Technology, 12, 1271-1275(1975).

    [60] Figueiro T R. Process modeling for proximity effect correction in electron beam lithography[D](2015).

    [61] Wind S J, Rosenfield M G, Pepper G et al. Proximity correction for electron beam lithography using a three-Gaussian model of the electron energy distribution[J]. Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena, 7, 1507-1512(1989).

    [62] Yao W Z, Xu H C, Zhao H J et al. An“autonomously controlled" electronic design automation software HNU-EBL for electron beam lithography[J]. Journal of Hunan University(Natural Sciences), 49, 183-191(2022).

    [63] Bork I, Buck P. The role of model-based MPC in advanced mask manufacturing[J]. Proceedings of SPIE, 10446, 104460B(2017).

    [64] Cook B D. PYRAMID: a hierarchical, rule-based proximity effect correction scheme for electron beam lithography[D](1996).

    [65] Bork I, Buck P, Wang L et al. Using rule-based shot dose assignment in model-based MPC applications[J]. Proceedings of SPIE, 9235, 92351T(2014).

    [66] Bork I, Buck P, Paninjath S et al. Mask model calibration for MPC applications utilizing shot dose assignment[J]. Proceedings of SPIE, 9235, 92350A(2014).

    [67] Bork I, Buck P, Reddy M et al. A fully model-based MPC solution including VSB shot dose assignment and shape correction[J]. Proceedings of SPIE, 9635, 96350U(2015).

    [68] Adamov A, Pack B, Hagiwara K et al. An enhanced measure of mask quality using separated models[J]. Proceedings of SPIE, 8522, 852207(2012).

    [69] Pack R C, Standiford K, Lukanc T et al. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP[J]. Proceedings of SPIE, 9235, 923509(2014).

    [70] Kim B G, Choi J, Park J et al. Improving CD uniformity using MB-MDP for 14 nm node and beyond[J]. Proceedings of SPIE, 8522, 852205(2012).

    [71] Pearman R, Shendre A, Syrel O et al. Full-chip GPU-accelerated curvilinear EUV dose and shape correction[J]. Proceedings of SPIE, 10451, 1045108(2017).

    [72] Fujimura A, Kim D, Bork I et al. Writing 32 nm-hp contacts with curvilinear assist features[J]. Proceedings of SPIE, 7823, 78230R(2010).

    [73] Chua G S, Wang W L, Choi B I et al. Optimization of mask shot count using MB-MDP and lithography simulation[J]. Proceedings of SPIE, 8166, 816632(2011).

    [74] Fujimura A, Pang L Y, Su B et al. Trends in mask data preparation[J]. Proceedings of SPIE, 9235, 923508(2014).

    [75] Spence C, Zhang Q, Shu V et al. Manufacturing challenges for curvilinear masks[J]. Proceedings of SPIE, 10451, 1045104(2017).

    [76] Bork I, Reddy M, Durvasula B et al. CLMPC: curvilinear MPC in a mask data preparation flow[J]. Proceedings of SPIE, 10451, 1045109(2017).

    [77] Xu Y, Hou J C, Zeggaoui N et al. A study of ILT-based curvilinear SRAF with a constant width[J]. Proceedings of SPIE, 12293, 1229306(2022).

    [78] Platzgummer E, Klein C, Loeschner H. Electron multi-beam technology for mask and wafer writing at 0.1 nm address grid[J]. Proceedings of SPIE, 8680, 868004(2013).

    [79] Treska F, Xu D B, Sherazi Y et al. EUV single patterning validation of curvilinear routing[J]. Proceedings of SPIE, 12494, 124940I(2023).

    [80] Pearman R, Ungar P J, Shirali N et al. How curvilinear mask patterning will enhance the EUV process window: a study using rigorous wafer+mask dual simulation[J]. Proceedings of SPIE, 11178, 1117809(2019).

    [81] Nomura H, Matsumoto H, Yamaguchi K et al. Multi-beam mask writer MBM-2000PLUS[J]. Proceedings of SPIE, 12054, 1205408(2022).

    [82] Tomandl M, Spengler C, Klein C et al. Multi-beam mask writing opens up new fields of application[J]. Proceedings of SPIE, 12802, 1280204(2023).

    [83] Choi Y, Fujimura A, Shendre A. Curvilinear masks: an overview[J]. Proceedings of SPIE, 11855, 118550U(2021).

    [84] van Look L, Gillijns W, Gallagher E. Impact of mask corner rounding on pitch 40 nm contact hole variability[J]. Proceedings of SPIE, 11854, 1185406(2021).

    [85] Zimmermann R, Bekaert J, Braylovska M et al. Efficient mask characterization through automated contour and corner rounding extraction[J]. Proceedings of SPIE, 12802, 128020J(2023).

    [86] Zhou K, Zhao H W, Zhou W Z et al. Characterization of mask CD mean-to-target for hotspot patterns by using SEM image contours and feed forward intra CDU by mask MTT[C](2022).

    [87] Zhou W Z, Wei F, Zhang Y et al. Contour-based metrology for assessment of edge placement error and its decomposition into global/local CD uniformity and LELE intralayer overlay[J]. Proceedings of SPIE, 11611, 116111Y(2021).

    [88] Le-Gratiet B, Mermet O, Gardin C et al. Investigating process variability at ppm level using advanced massive eBeam CD metrology and contour analysis[J]. Proceedings of SPIE, 10959, 109591A(2019).

    [89] Weisbuch F, Schatz J, Mattick S et al. Investigating SEM-contour to CD-SEM matching[J]. Proceedings of SPIE, 11611, 116110Y(2021).

    [90] Chen K Y, Lan A, Yang R et al. Full-chip application of machine learning SRAFs on DRAM case using auto pattern selection[J]. Proceedings of SPIE, 10961, 1096108(2019).

    [91] Shi X L, Zhao Y H, Chen S M et al. Physics based feature vector design: a critical step towards machine learning based inverse lithography[J]. Proceedings of SPIE, 11327, 113270A(2020).

    [92] Liu P. Mask synthesis using machine learning software and hardware platforms[J]. Proceedings of SPIE, 11327, 1132707(2020).

    [93] Feng Y B, Song Z Y, Guo M R et al. Freeform mask optimization using advanced image based M3D inverse lithography and 3D-NAND full chip OPC application[J]. Proceedings of SPIE, 10587, 105870G(2018).

    [94] Pearman R, Meyer M, Ungar J et al. Fast all-angle mask 3D for ILT patterning[J]. Proceedings of SPIE, 11327, 113270F(2020).

    [95] Zable H, Matsumoto H, Yasui K et al. GPU-accelerated inline linearity correction: pixel-level dose correction (PLDC) for the MBM-1000[J]. Proceedings of SPIE, 10454, 104540D(2017).

    [97] Moore S K. Nvidia speeds key chipmaking computation by 40× inverse lithography produces features smaller than the wavelength of light, but it usually takes weeks to compute[EB/OL]. https://spectrum.ieee.org/inverse-lithography

    Futian Wang, Juan Wei, Cuixiang Wang, Miao Jiang, Yu Mu, Chunlong Yu, Ruihua Liu, Fu Li, Jingjing Fan, Jinlai Liu, Jingkang Qin, Enqiang Tian, Song Sun, Chong Wang, Xiaonan Liu, Hao Yang, Di Liang, Binbin Yan, Liang Li, Qingchen Cao, Jiangliu Shi. Progress in Inverse Lithography Technology[J]. Laser & Optoelectronics Progress, 2024, 61(21): 2100001
    Download Citation