• Journal of Semiconductors
  • Vol. 45, Issue 1, 012501 (2024)
Yansheng Hu1、†, Yuangang Wang1、†, Wei Wang, Yuanjie Lv*, Hongyu Guo, Zhirong Zhang, Hao Yu, Xubo Song, Xingye zhou, Tingting Han, Shaobo Dun, Hongyu Liu, Aimin Bu, and Zhihong Feng**
Author Affiliations
  • National Key Laboratory of Solid-State Microwave Devices and Circuits, Hebei Semiconductor Research Institute, Shijiazhuang 050051, China
  • show less
    DOI: 10.1088/1674-4926/45/1/012501 Cite this Article
    Yansheng Hu, Yuangang Wang, Wei Wang, Yuanjie Lv, Hongyu Guo, Zhirong Zhang, Hao Yu, Xubo Song, Xingye zhou, Tingting Han, Shaobo Dun, Hongyu Liu, Aimin Bu, Zhihong Feng. 11.2 W/mm power density AlGaN/GaN high electron-mobility transistors on a GaN substrate[J]. Journal of Semiconductors, 2024, 45(1): 012501 Copy Citation Text show less

    Abstract

    In this letter, high power density AlGaN/GaN high electron-mobility transistors (HEMTs) on a freestanding GaN substrate are reported. An asymmetric Γ-shaped 500-nm gate with a field plate of 650 nm is introduced to improve microwave power performance. The breakdown voltage (BV) is increased to more than 200 V for the fabricated device with gate-to-source and gate-to-drain distances of 1.08 and 2.92 μm. A record continuous-wave power density of 11.2 W/mm@10 GHz is realized with a drain bias of 70 V. The maximum oscillation frequency (fmax) and unity current gain cut-off frequency (ft) of the AlGaN/GaN HEMTs exceed 30 and 20 GHz, respectively. The results demonstrate the potential of AlGaN/GaN HEMTs on free-standing GaN substrates for microwave power applications.

    1. Introduction

    AlGaN/GaN high electron-mobility transistors (HEMTs) have attracted much attention in high-power microwave applications, benefitting from the high breakdown electric field, high electron mobility and velocity. The research into AlGaN/GaN HEMTs mainly focuses on the heteroepitaxy on SiC, sapphire, or Si substrates[14]; however, many threading dislocations will be introduced. The threading dislocation densities are always above 1 × 108 cm−2[5]. The high threading dislocation densities will lead to a large leakage current[6] and diffusion of a large amount of metal along the dislocation[7], reducing the reliability and lifetime of the AlGaN/GaN HEMTs[8]. The threading dislocation densities in AlGaN/GaN heterojunction epitaxy on freestanding GaN substrates can be reduced to 107 cm−2[9], circumventing this problem.

    Unfortunately, there are many impurities, such as O and Si, at the regrowth interface between the epitaxial GaN layer and the substrate, which will give rise to a parallel conduction channel and increase the leakage current in the HEMTs on the GaN substrate. Various techniques have been developed to circumvent this problem. The proposed approaches mainly include Fe-doping[10, 11], Mg-doping[12], Be-doping[13], C-doping[14, 15], p-AlGaN back barrier[16], H2in-situ etching in an NH3 atmosphere[11], and so on. Based on these techniques, HEMTs on freestanding GaN substrates have been reported[14, 1723]. The first HEMT on freestanding GaN substrates was reported in 2000[24]. The results were comparable to that on SiC substrates, while microwave-related data were not reported. The highest maximum frequency of oscillation (fmax) is 171 GHz, realized by AlN/GaN HEMT[22]. The record output power density is around 10 W/mm@10 GHz, which is achieved by AlGaN/GaN HEMTs on a GaN substrate in 2004[21].

    In the present research, the HEMTs were fabricated on Al0.25GaN/GaN heterostructures on a GaN substrate. The growth conditions were similar to those used elsewhere[25], while an Mg-doping layer was also introduced to suppress the Si impurity at the regrowth interface. The asymmetric Γ-shaped 500-nm gate with a field plate of 650 nm was introduced to increase the BV and output power density of our fabricated devices[26, 27]. The BV is increased to above 200 V, while the drain bias is increased to 70 V during the continuous-wave power measurements. Meanwhile, the fabricated devices maintain high associated gain and operating frequency, benefiting from small gate length and a gate-to-source distance. The out power density of 11.2 W/mm@10GHz is realized with our fabricated devices, which is the highest value.

    2. Device structure and fabrication

    The new heterostructure was grown on a GaN substrate with metal-organic chemical vapor deposition (MOCVD). The heterostructure from top to bottom was a 2-nm GaN, a 18-nm Al0.25Ga0.75N, a 1-nm AlN, a 500-nm GaN channel layer, a 1.5-μm Fe-doping GaN, and a 500-nm Mg-doping GaN, as shown in Fig. 1(a). The 2DEG density and mobility were 1.0 × 1013 cm−2 and 1950 cm2/(V·s), respectively. The device fabrication was commenced with mesa isolation by dry etching. The metal for source/drain was Ti/Al/Ni/Au multi-layer metal, which was fabricated by electron-beam evaporation. However, realizing low ohmic resistance of Al0.25GaN/GaN heterostructures on the GaN substrate is much harder than heterostructures on other substrates, because of the lower threading dislocation densities[9]. Therefore, a low-power inductively coupled plasma (ICP) etching process at source/drain regions was undertaken before ohmic metal evaporation[28, 29]. The radio frequency (RF) generator power, inductively coupled plasma generator power and etching time were set to 10 W, 180 W, and 1 min, separately. A ohmic resistance of 0.8 Ω·mm was realized after being annealed at 860 °C in nitrogen. The surface was passivated with 200-nm silicon nitride (SiN). The SiN in-gate region was removed by the ICP dry etching process. To reduce the damage caused by the dry etching process, a high-temperature annealing process (450 °C in nitrogen for 5 min) was taken after SiN etching. An Ni/Au asymmetric Γ-shaped gate was formed by electron-beam lithography, which is closer to the source contact. The width and lengths of the single gate is 75 μm and 500 nm, respectively. The gate field plate was 650 nm, as shown in Fig. 1(b). The distances from gate to source and from gate to drain were 1.08 and 2.92 μm, respectively.

    (Color online) (a) Schematic cross-section of the AlGaN/GaN HEMT on a GaN substrate and (b) the SEM image of the Γ-shaped gate.

    Figure 1.(Color online) (a) Schematic cross-section of the AlGaN/GaN HEMT on a GaN substrate and (b) the SEM image of the Γ-shaped gate.

    3. Results and discussion

    The output characteristics of the AlGaN/GaN HEMTs on the GaN substrate is illustrated in Fig. 2(a). The total current collapse is around 4.3%, and the gate lag is around 0.1%. The maximum drain current is 816 mA/mm, and the maximum transconductance is 264 mS/mm. In addition, the surface state is well suppressed, as reflected where the maximum deviation of transconductance is only 0.8%.

    (Color online) (a) Static and pulsed current−voltage curves. (b) Double transfer characteristics of the AlGaN/GaN HEMTs on a GaN substrate.

    Figure 2.(Color online) (a) Static and pulsed current−voltage curves. (b) Double transfer characteristics of the AlGaN/GaN HEMTs on a GaN substrate.

    The 3-terminal breakdown characteristic of the AlGaN/GaN HEMT on the GaN substrate is displayed in Fig. 3. The judgment basis for BV is that the drain leakage current rises to 1 mA/mm[30, 31]. Benefiting from the low threading dislocation densities, the homogeneous epitaxial AlGaN/GaN HEMT on the GaN substrate can achieve high BV[13, 32]. To realize these advantages, the asymmetric gate (larger distance of gate−drain) and the gate field plate are introduced. High breakdown voltages exceeding 200 V (200 V is the limit to our test system) have been realized in the fabricated devices (Fig. 3).

    (Color online) 3-terminal breakdown characteristic of the AlGaN/GaN HEMT on a freestanding GaN substrate.

    Figure 3.(Color online) 3-terminal breakdown characteristic of the AlGaN/GaN HEMT on a freestanding GaN substrate.

    Fig. 4 is the radio frequency small-signal characteristics of the fabricated AlGaN/GaN HEMT. The frequency was varied from 100 MHz to 30 GHz in 50-MHz increments during on-wafer measurements. The fmax of 20.5 GHz and fmax over 30 GHz are realized, as shown in Fig. 4.

    (Color online) Small-signal radio frequency performance of the AlGaN/GaN HEMT on a GaN substrate.

    Figure 4.(Color online) Small-signal radio frequency performance of the AlGaN/GaN HEMT on a GaN substrate.

    The continuous-wave (CW) power was measured by the load–pull system. The gate width of the measured device is 2 × 75 μm. The device was operated in Class AB mode, while the drain bias was biased at 30, 40, 50, 60, and 70 V. The CW output power was increased from 5.2 to 11.2 W/mm, with the drain bias increasing from 30 to 70 V, as illustrated in Fig. 5(a). The large-signal performance with drain based of 70 V was shown in Fig. 5(b). The CW output power peak was 11.2 W/mm, while the power-added efficiencies (PAE) is just 36%. The value of PAE is a bit low, which can be improved by reducing contact resistance and gate length.

    (Color online) Large-signal performance of the AlGaN/GaN HEMTs on a GaN substrate. (a) The CW output power and PAE vs. Vds. (b) The large-signal performance and PAE vs. Pin.

    Figure 5.(Color online) Large-signal performance of the AlGaN/GaN HEMTs on a GaN substrate. (a) The CW output power and PAE vs. Vds. (b) The large-signal performance and PAE vs. Pin.

    The output power densities of our device and other similar reported devices are benchmarked (Fig. 6). The output power density of 11.2 W/mm for our device represents a record value among all reported references.

    (Color online) Plot of Pout vs. frequency for our devices against reported AlGaN/GaN HEMT on a GaN substrate from reported results[17−22].

    Figure 6.(Color online) Plot of Pout vs. frequency for our devices against reported AlGaN/GaN HEMT on a GaN substrate from reported results[1722].

    4. Conclusion

    In summary, X-band AlGaN/GaN HEMT on a GaN substrate with high power density has been investigated. The BV over 200 V is realized by introducing the asymmetric gate and gate field plate. The working voltage of the drain electrode is increased to 70 V during the microwave output power measured. A continuous-wave power density reaches a record value of 11.2 W/mm@ 10 GHz. However, the PAE is as low as 36%, which may be caused by large ohmic resistance and gate length. The techniques of Si ion implantation in the source/drain regions and use of a small gate will be introduced to solve this problem, improving PAE and microwave output power. Moreover, the measured fmax/ft of our fabricated device exceeds 30 GHz/20 GHz. The results of our fabricated device demonstrate the potential of AlGaN/GaN HEMT on a GaN substrate for microwave power applications.

    References

    [1] Y F Wu, M Moore, A Saxler et al. 40-W/mm double field-plated GaN HEMTs. 2006 64th Device Research Conference, 151(2006).

    [2] V Tilak, B Green, V Kaper et al. Influence of barrier thickness on the high-power performance of AlGaN/GaN HEMTs. IEEE Electron Device Lett, 22, 504(2001).

    [3] Y Z Yue, Z Y Hu, J Guo et al. Ultrascaled InAlN/GaN high electron mobility transistors with cutoff frequency of 400 GHz. Jpn J Appl Phys, 52, 08JN14(2013).

    [4] T Palacios, A Chakraborty, S Rajan et al. High-power AlGaN/GaN HEMTs for ka-band applications. IEEE Electron Device Lett, 26, 781(2005).

    [5] A D Koehler, T J Anderson, J K Hite et al. Degradation mechanisms of AlGaN/GaN HEMTs on sapphire, Si, and SiC substrates under Proton. 2014 IEEE Workshop on Wide Bandgap Power Devices and Applications, 33(2014).

    [6] J W P Hsu, M J Manfra, R J Molnar et al. Direct imaging of reverse-bias leakage through pure screw dislocations in GaN films grown by molecular beam epitaxy on GaN templates. Appl Phys Lett, 81, 79(2002).

    [7] C Y Hsu, W H Lan, Y S Wu. Effect of thermal annealing of Ni/Au ohmic contact on the leakage current of GaN based light emitting diodes. Appl Phys Lett, 83, 2447(2003).

    [8] S Nakamura. III-V nitride-based blue LDs with modulation-doped strained-layer superlattices. Compound Semiconductors 1997. Proceedings of the IEEE Twenty-Fourth International Symposium on Compound Semiconductors, 1(2002).

    [9] T J Anderson, M J Tadjer, J K Hite et al. Effect of reduced extended defect density in MOCVD grown AlGaN/GaN HEMTs on native GaN substrates. IEEE Electron Device Lett, 37, 28(2015).

    [10] J P Liu, J H Ryou, D Yoo et al. III-nitride heterostructure field-effect transistors grown on semi-insulating GaN substrate without regrowth interface charge. Appl Phys Lett, 92, 133513(2008).

    [11] M Wu, J H Leach, X Ni et al. InAlN/GaN heterostructure field-effect transistors on Fe-doped semi-insulating GaN substrates. J Vac Sci Technol B Nanotechnol Microelectron Mater Process Meas Phenom, 28, 908(2010).

    [12] D Jana, A Chatterjee, T K Sharma. Confirmation of the compensation of unintentional donors in AlGaN/GaN HEMT structures by Mg-doping during initial growth of GaN buffer layer. J Lumin, 219, 116904(2020).

    [13] D A Deen, D F Storm, D J Meyer et al. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates. Appl Phys Lett, 105, 093503(2014).

    [14] S W Kaun, M H Wong, J Lu et al. Reduction of carbon proximity effects by including AlGaN back barriers in HEMTs on free-standing GaN. Electron Lett, 49, 893(2013).

    [15] S Tanabe, N Watanabe, H Matsuzaki. Breakdown mechanism in AlGaN/GaN high-electron mobility transistor structure on free-standing n-type GaN substrate. Jpn J Appl Phys, 55, 05FK01(2016).

    [16] M D Zhu, B Song, Z Y Hu et al. Comparing buffer leakage in PolarMOSH on SiC and free-standing GaN substrates. 2016 Lester Eastman Conference (LEC), 27(2016).

    [17] Y Kumazaki, T Ohki, J Kotani et al. Over 80% power-added-efficiency GaN high-electron-mobility transistors on free-standing GaN substrates. Appl Phys Express, 14, 016502(2021).

    [18] M Góralczyk, D Gryglewski. S-band GaN PolHEMT power amplifier. 2016 21st International Conference on Microwave, Radar and Wireless Communications (MIKON), 1(2016).

    [19] D F Storm, D S Katzer, J A Roussos et al. AlGaN/GaN HEMTs on free-standing GaN substrates: MBE growth and microwave characterization. J Cryst Growth, 301/302, 429(2007).

    [20] K K Chu, P C Chao, M T Pizzella et al. 9.4-W/mm power density AlGaN–GaN HEMTs on free-standing GaN substrates. IEEE Electron Device Lett, 25, 596(2004).

    [21] K K Chu, P C Chao, J A Windyka. Stable high power GaN-on-GaN hemt. Int J Hi Spe Ele Syst, 14, 738(2004).

    [22] D J Meyer, D A Deen, D F Storm et al. High electron velocity submicrometer AlN/GaN MOS-HEMTs on freestanding GaN substrates. IEEE Electron Device Lett, 34, 199(2013).

    [23] W Wojtasiak, M Góralczyk, D Gryglewski et al. Micromachines, 9, 546(2018).

    [24] M Asif Khan, J W Yang, W Knap et al. GaN–AlGaN heterostructure field-effect transistors over bulk GaN substrates. Appl Phys Lett, 76, 3807(2000).

    [25] Z R Zhang, Y L Fang, J Y Yin et al. Highmobility AlGaN/GaN high electronic mobility transistors on GaN homo-substrates. Acta Phys Sin, 67, 076801(2018).

    [26] T Ma, Y Hao, C Chen et al. A new small-signal model for asymmetrical AlGaN/GaN HEMTs. J Semicond, 31, 064002(2010).

    [27] V Kumar, G Chen, S P Guo et al. Field-plated 0.25-μm gate-length AlGaN/GaN HEMTs with varying field-plate length. IEEE Trans Electron Devices, 53, 1477(2006).

    [28] D Buttari, A Chini, G Meneghesso et al. Systematic characterization of Cl2 reactive ion etching for improved ohmics in AlGaN/GaN HEMTs. IEEE Electron Device Lett, 23, 76(2002).

    [29] C Wang, S J Cho, N Y Kim. Optimization of ohmic contact metallization process for AlGaN/GaN high electron mobility transistor. Trans Electr Electron Mater, 14, 32(2013).

    [30] E Bahat-Treidel, O Hilt, F Brunner et al. AlGaN/GaN/AlGaN DH-HEMTs breakdown voltage enhancement using multiple grating field plates (MGFPs). IEEE Trans Electron Devices, 57, 1208(2010).

    [31] C Wang, R K Maharjan, S J Cho et al. A novel manufacturing process of AlGaN/GaN HEMT for X-band high-power application on Si (111) substrate. 2012 Asia Pacific Microwave Conference Proceedings, 484(2013).

    [32] Y C Chen, I Sanyal, T Y Hu et al. The influence of superlattice structure on the dynamic buffer response of AlInN/GaN-on-Si HEMTs. IEEE Trans Nanotechnol, 19, 415(2020).

    Yansheng Hu, Yuangang Wang, Wei Wang, Yuanjie Lv, Hongyu Guo, Zhirong Zhang, Hao Yu, Xubo Song, Xingye zhou, Tingting Han, Shaobo Dun, Hongyu Liu, Aimin Bu, Zhihong Feng. 11.2 W/mm power density AlGaN/GaN high electron-mobility transistors on a GaN substrate[J]. Journal of Semiconductors, 2024, 45(1): 012501
    Download Citation