• Acta Optica Sinica
  • Vol. 33, Issue 10, 1034002 (2013)
Du Yuchan1、*, Li Hailiang1, Shi Lina1, Li Chun2, and Xie Changqing1
Author Affiliations
  • 1[in Chinese]
  • 2[in Chinese]
  • show less
    DOI: 10.3788/aos201333.1034002 Cite this Article Set citation alerts
    Du Yuchan, Li Hailiang, Shi Lina, Li Chun, Xie Changqing. Integrated Development of Extreme Ultraviolet Lithography Mask at 32 nm Node[J]. Acta Optica Sinica, 2013, 33(10): 1034002 Copy Citation Text show less
    References

    [1] J Benschop, V Banine, S Lok, et al.. Extreme ultraviolet lithography: status and prospects [J]. J Vac Sci Technol B, 2008, 26(6): 2204-2207.

    [2] Tom Vandeweyer, Johan De Backer, Janko Versluijs, et al.. Patterning challenges in setting up a 16 nm node 6T-SRAM device using EUV lithography [C]. SPIE, 2011, 7969: 79691K.

    [3] C W Gwyn, R Stulen, D Sweeney, et al.. Extreme ultraviolet lithography [J]. J Vac Sci Technol B, 1998, 16(6): 3142-3149.

    [4] B La Fontaine, Y Deng, R H Kim, et al.. Extreme ultraviolet lithography: from research to manufacturing [J]. J Vac Sci Technol B, 2007, 25(6): 2089-2093.

    [5] C Wonil, P A Kearney, E M Gullikson, et al.. Inspection with the Lasertec M7360 at the SEMATECH mask blank development center [C]. SPIE, 2007, 6517: 65170D.

    [6] O Wood, J Arnold, T Brunner, et al.. Insertion strategy for EUV lithography [C]. SPIE, 2012, 8322: 832203.

    [7] H Shite, K Matsunaga, K Nafus, et al.. Latest cluster performance for EUV lithography [C]. SPIE, 2012, 8322: 83222Y.

    [8] J V Hermans, D Laidler, P Foubert, et al.. Progress in EUV lithography towards manufacturing from an exposure tool perspective [C]. SPIE, 2012, 8322: 832202.

    [9] J Chan-Uk, P Kearney, A Ma, et al.. Enabling defect-free masks for extreme ultraviolet lithography [C]. SPIE, 2007, 6533: 653310.

    [10] S Wurm. Transition to EUV lithography [C]. IEEE International Symposium on VLSI Technology, Systems and Application, 2012.

    [11] O Wood, C S Koay, K Petrillo, et al.. Integration of EUV lithography in the fabrication of 22-nm node devices [C]. SPIE, 2009, 7271: 727104.

    [12] Xie Changqing, Zhu Xiaoli, Niu Jiebin, et al.. Micro- and nano-metal structures fabrication technology and applications [J]. Acta Optica Sinica, 2011, 31(9): 0900128.

    [13] T Shimomura, T Liang. Chemical durability studies of Ru-capped EUV mask blanks [C]. SPIE, 2008, 7122: 712226.

    [14] Wang Zhanshan, Zhu Jingtao, Mu Baozhong, et al.. Applications of multilayer optics [J]. Nuclear Instruments and Methods in Physics Research A, 2010, 623(2): 786-790.

    [15] Wang Zhanshan, Wu Yonggang, Chen Lingyan. Multilayer optics in extreme ultraviolet and soft X-ray range [J]. J Tongji University, 2002, 30(4): 510-515.

    [16] T W Barbee, S Mrowka, M C Hettrick. Molybdenum-silicon multilayer mirrors for the extreme ultraviolet [J]. Appl Opt, 1985, 24(6): 883-886.

    [17] K M Skulina, C S Alford, R M Bionta, et al.. Molybdenum beryllium multilayer mirrors for normal incidence in the extreme-ultraviolet [J]. Appl Opt, 1995, 34(19): 3727-3730.

    [18] Zhu Wenxiu, Jin Chunshui, Kuang Shangqi, et al.. Design and fabrication of the multilayer film of enhancing spectral-purity in extreme ultraviolet [J]. Acta Optica Sinica, 2012, 32(10): 1031002.

    [19] Yu Bo, Li Chun, Jin Chunshui. Diffusion coefficient measurement by grazing incidence X-ray reflection in a Mo/Si multilayer [J]. Chinese J Lasers, 2011, 38(11): 1107002.

    [20] Cao Yuting, Wang Xiangzhao, Bu Yang, et al.. Analysis of mask shadowing effects in extreme-ultraviolet lithography [J]. Acta Optica Sinica, 2012, 32(8): 0805001.

    [21] Cao Yuting, Wang Xiangzhao, Bu Yang. Fast simulation method for contact hole mask in extreme-ultraviolet lithography [J]. Acta Optica Sinica, 2012, 32(7): 0705001.

    [22] Wang Jun, Jin Chunshui, Wang Liping, et al.. Study on the off-axis illumination for extreme ultraviolet lithography [J]. Acta Optica Sinica, 2012, 32(12): 1211003.

    [23] A Rastegar, S Eichenalub, K Goncher, et al.. A study of damage mechanism during EUV mask substrate cleaning [C]. SPIE, 2006, 6283: 62830I.

    [24] P B Mirkarimi, S Bajt, M A Wall. Mo/Si and Mo/Be multilayer thin films on Zerodur substrates for extreme-ultraviolet lithography [J]. Appl Opt, 2000, 39(10): 1617-1625.

    [25] K H Smith, J R Wasson, P J S Mangat, et al.. Cr absorber etch process for extreme ultraviolet lithography mask fabrication [J]. J Vac Sci Technol B, 2001, 19(6): 2906-2910.

    CLP Journals

    [1] Liu Xiaolei, Li Sikun, Wang Xiangzhao. Simulation Model Based on Equivalent Layer Method for Defective Mask Multilayer in Extremeultra violet Lithography[J]. Acta Optica Sinica, 2015, 35(6): 622005

    [2] Lu Zengxiong, Qi Yuejing, Qi Wei, Su Jiani, Peng Zhuojun. Optimized Analysis of Random Point Array Illumination Source for Nanometer Accuracy Wavefront Error Testing[J]. Acta Optica Sinica, 2015, 35(6): 612007

    [3] Yuan Zheng, Cao Zhurong, Zhu Xiaoli, Deng Bo, Li Jin, Yang Zhiwen, Hong Caihao, Liu Shenye, Yang Jiamin, Zhao Yidong. A Technology of X-Ray Imaging Flat-Response Low-Pass Filter[J]. Acta Optica Sinica, 2016, 36(5): 534001

    [4] Wang Jun, Jin Chunshui, Wang Liping, Guo Benyin, Yu Bo. Foundation and Application of Model for Multilayers Analysis in Extreme Ultra-Violet Lithography Projection[J]. Acta Optica Sinica, 2014, 34(8): 811002

    Du Yuchan, Li Hailiang, Shi Lina, Li Chun, Xie Changqing. Integrated Development of Extreme Ultraviolet Lithography Mask at 32 nm Node[J]. Acta Optica Sinica, 2013, 33(10): 1034002
    Download Citation