• Photonics Research
  • Vol. 9, Issue 7, 1369 (2021)
Antardipan Pal1, Yong Zhang1、*, and Dennis D. Yau2
Author Affiliations
  • 1Department of Electrical and Computer Engineering, University of North Carolina at Charlotte, Charlotte, North Carolina 28223, USA
  • 2Sinai Green Lab, Cupertino, California 95014, USA
  • show less
    DOI: 10.1364/PRJ.420887 Cite this Article Set citation alerts
    Antardipan Pal, Yong Zhang, Dennis D. Yau. Monolithic and single-functional-unit level integration of electronic and photonic elements: FET-LET hybrid 6T SRAM[J]. Photonics Research, 2021, 9(7): 1369 Copy Citation Text show less
    6T SRAM cell.
    Fig. 1. 6T SRAM cell.
    Schematic of a light-effect transistor (LET). (a) Output and (b) transfer characteristics of a prototype LET based on a CdSe nanowire.
    Fig. 2. Schematic of a light-effect transistor (LET). (a) Output and (b) transfer characteristics of a prototype LET based on a CdSe nanowire.
    Prototype hybrid 6T SRAM cell with LET access.
    Fig. 3. Prototype hybrid 6T SRAM cell with LET access.
    Read and write delay and energy for various SRAM arrays with FET, LET, and ballistic LET access devices. (a) Read delay, (b) read energy, (c) write delay, (d) write energy. The curves with LETs and ballistic LETs are indistinguishable.
    Fig. 4. Read and write delay and energy for various SRAM arrays with FET, LET, and ballistic LET access devices. (a) Read delay, (b) read energy, (c) write delay, (d) write energy. The curves with LETs and ballistic LETs are indistinguishable.
    Prototype hybrid 6T array.
    Fig. 5. Prototype hybrid 6T array.
    4 kB SRAM Array16 kB SRAM Array
     FET Access DevicesLET Access DevicesBallistic LET Access DevicesFET Access DevicesLET Access DevicesBallistic LET Access Devices
    Read delay (ps)8461871871690374374
    Write delay (ps)7903033031580598598
    Read energy (fJ)20.10.90.8940.21.81.77
    Write energy (fJ)307.077.0659.814.114.1
    Estimated area (μm2)3.67×1035.0×1032.35×1031.47×1042.0×1049.40×103
    Table 1. Comparison of the Performance of 4 kB and 16 kB SRAM Arrays with FET, LET, and Ballistic LET Access Devices
    Antardipan Pal, Yong Zhang, Dennis D. Yau. Monolithic and single-functional-unit level integration of electronic and photonic elements: FET-LET hybrid 6T SRAM[J]. Photonics Research, 2021, 9(7): 1369
    Download Citation