• Laser & Optoelectronics Progress
  • Vol. 53, Issue 8, 81201 (2016)
Peng Haifeng1、*, Yu Xinfeng1, and Qin Shuo2
Author Affiliations
  • 1[in Chinese]
  • 2[in Chinese]
  • show less
    DOI: 10.3788/lop53.081201 Cite this Article Set citation alerts
    Peng Haifeng, Yu Xinfeng, Qin Shuo. Design and Performance Testing of Constant-Temperature Water Jacket Small-Scale Model of Lithographic Projection Lens[J]. Laser & Optoelectronics Progress, 2016, 53(8): 81201 Copy Citation Text show less
    References

    [1] Mann K, Bayer A, Leinhos U, et al. Measurement of wavefront distortions in DUV optics due to lens heating[C]. SPIE, 2011, 7973: 79732B.

    [2] Mulkens J, Flagello D, Streefkerk B, et al. Benefits and limitations of immersion lithography[J]. Journal of Microlithography, Microfabrication and Microsystems, 2004, 3(1): 104-114.

    [3] Burnett H, Shedd T, Nellis G, et al. Control of the receding meniscus in immersion lithography[J]. Journal of Vacuum Science and Technology B, 2005, 23(6): 2611-2616.

    [4] Chen Weiming, He Chao, Liu Yeyi. An algorithm for controlling objective lens temperature[C]. SPIE, 1999, 3677: 377-381.

    [5] Qin Shuo, Gong Yan, Yuan Wenquan, et al. High precision temperature control for projection lens with long time thermal response constant[J]. Optics and Precision Engineering, 2013, 21(1): 108-114.

    [6] Han Xu, Ma Jun, Li Ming, et al. Temperature adaption of mapping camera[J]. Optics and Precision Engineering, 2012, 20(6): 1175-1181.

    [7] Wu Xiaoxia, Wang Minghao, Ming Ming, et al. Calibration of thermal distortion for large aperture SiC lightweight mirror[J]. Optics and Precision Engineering, 2012, 20(6): 1243-1249.

    [8] Yang Xianwei, Wu Qingwen, Li Shusheng, et al. Thermal design of space optical remote sensor[J]. Chinese Optics, 2011, 4(2): 139-146.

    [9] Gong Dun, Wang Hong, Wang Tieyin. Temperature effect on imaging performance of three-line CCD satellite camera and temperature indicators affirmed[J]. Acta Optica Sinica, 2010, 30(7): 2017-2021.

    [10] Zeng Yi. Thermal structure design of the lithography′s precision temperature control system of water[D]. Chengdu: University of Electronic and Technology of China, 2012.

    [11] Wang Hongguang. The design and implement of lithography′s temperature control unit[D]. Shanghai: East China University of Science and Technology, 2011.

    [12] Zhou Zinan, Ma Jun, Yu Pei, et al. Laser/infrared optical system design of common optical path and athermalization[J]. Laser & Optoelectronics Progress, 2015, 52(1): 012202.

    [13] Cao Zhen, Li Yanqiu, Sun Yuanyuan. Compensator selection and accuracy analysis for extreme ultraviolet lithographic objective[J]. Acta Optica Sinica, 2015, 35(2): 1211003.

    [14] Nie Shanjun, Guo Jin, Shao Junfeng, et al. Numerical analysis on the thermal-deformation of semiconductor refrigerated mirror[J]. Acta Optica Sinica, 2015, 35(1): 0114002.

    [15] Lan Gongpu, Wang Xuan, Liang Wei, et al. Optical design and thermal analysis for the active-focusing aerial camera objective[J]. Acta Optica Sinica, 2012, 32(3): 0322006.

    [16] Nie Hongfei, Li Xiaoping, Zhang Lingli. Temperature control algorithm for optical lithography projection lens[J]. Chinese Mechanical Engineering, 2008, 19(10): 1135-1139.

    [17] Nie Hongfei, Li Xiaoping. Modeling for lithography temperature control system based on gray identification[J]. Chinese J Mechanical Engineering, 2008, 44(1): 98-101.

    [18] Yao Hanmin, Hu Song, Xing Yanwen. The technology of lithographic projection and micro-nano processing[M]. Beijing: Beijing University of Technology Press, 2006.

    [19] Yuan Wenquan, Gong Yan, Zhang Wei, et al. Downscaling model test design for constant-temperature water jacket of lithography lens[J]. Opto-Electronic Engineering, 2011, 38(1): 60-65.

    Peng Haifeng, Yu Xinfeng, Qin Shuo. Design and Performance Testing of Constant-Temperature Water Jacket Small-Scale Model of Lithographic Projection Lens[J]. Laser & Optoelectronics Progress, 2016, 53(8): 81201
    Download Citation