• Microelectronics
  • Vol. 52, Issue 3, 339 (2022)
WANG Ying1、2 and LAI Fan3
Author Affiliations
  • 1[in Chinese]
  • 2[in Chinese]
  • 3[in Chinese]
  • show less
    DOI: 10.13911/j.cnki.1004-3365.220210 Cite this Article
    WANG Ying, LAI Fan. The Frontier Research Advances in Analog Microelectronics and Applied Technologies[J]. Microelectronics, 2022, 52(3): 339 Copy Citation Text show less
    References

    [1] The decadal plan for semiconductors full report [EB/OL]. https://www.src.org/about/decadal-plan. 2021.

    [2] WIENECKE S M, ROMANCZYK B, GUIDRY M, et al. N-polar GaN cap MISHEMT with record power density exceeding 6.5 W/mm at 94 GHz [J]. IEEE Elec Dev Lett, 2017, 38(3): 359-362.

    [3] HEINEMANN B, RUCKER H, BARTH R, et al. SiGe HBT with fT/fmax of 505 GHz/720 GHz [C]// IEEE IEDM. San Francisco, CA, USA. 2016: 311-314.

    [4] URTEAGA M, GRIFFITH Z, SEO M, et al. InP HBT technologies for THz integrated circuits [J]. IEEE Proceed, 2017, 105(6): 1051-1067.

    [5] SIMSEK A, KIM S, RODWELL M J W. A 140 GHz MIMO transceiver in 45 nm SOI CMOS [C]// IEEE BCICTS. San Diego, CA, USA. 2018: 231-234.

    [6] THYAGARAJAN S, KANG S, NIKNEJAD A. A 240 GHz wideband QPSK receiver in 65 nm CMOS [C]// Proceed Radio Frequ Integr Circ Symp. 2014: 357-360.

    [7] RUCKER H, HEINEMANN B. High-performance SiGe HBTs for next generation BiCMOS technology [J]. Semicond Sci & Technol, 2018, 33(11): 1140031-1140036.

    [8] AL-ERYANI J, KNAPP H, KAMMERER J, et al. Fully integrated single-chip 305-375-GHz transceiver with on-chip antennas in SiGe BiCMOS [J]. IEEE Trans Terahertz Sci & Technol, 2018, 8(3): 329-339.

    [9] URTEAGA M, GRIFFITH Z, PIERSON R, et al. THz bandwidth THz InP bipolar transistors-circuit integration and applications [C]// IEEE Compound Semicond Integr Circ Symp. Miami, FL, USA. 2017.

    [10] REED T B, GRIFFITH Z, ROWELL P, et al. A 180 mW InP HBT power amplifier MMIC at 214 GHz [C]// IEEE CSICS. Monterey, CA, USA. 2013.

    [11] GRIFFITH Z, URTEAGA M, ROWELL P. 180-265 GHz, 17-24 dBm output power broadband, high-gain power amplifiers in InP HBT [C]// IEEE MTT-S IMS. Honololu, HI, USA. 2017: 973-976.

    [12] KIM J, JEON S, KIM M, et al. H-band power amplifier integrated circuits using 250-nm InP HBT technology [J]. IEEE Trans Terahertz Sci & Technol, 2015, 5(2): 215-222.

    [13] DEAL W R, LEONG K, YOSHIDA W, et al. InP HEMT integrated circuits operating above 1 000 GHz [C]// IEEE IEDM. San Francisco, CA, USA. 2016: 29.11-29.14.

    [14] WIENECKE S, ROMANCZYK B, GUIDRY M, et al. N-polar GaN cap MISHEMT with record 6.7 W/mm at 94 GHz [C]// 74th Annual Dev Res Conf. Newark, DE, USA. 2016.

    [15] HUANG M W, BENNETT H S, COSTA J, et al. RF, analog and mixed signal technologies for communication ICs -an ITRS perspective [C]// IEEE Bipolar/BiCMOS Circ & Technol Meet. Maastricht, Netherlands. 2006: 311160.

    [16] BLACK W, HODGES D. Time interleaved converter arrays [J]. IEEE J Sol Sta Circ, 1980, 15(6): 1022-1029.

    [17] DRENSKI T, RASMUSSEN J C. ADC & DAC - technology trends and steps to overcome current limitations [C]// IEEE Proceed OFC. San Diego, CA, USA. 2018: 1-3.

    [18] MANGANARO G, LEENAERTS D. Advances in analog and RF IC design for wireless communication systems [M]. Academic Press, 2013.

    [19] Cisco global cloud index: forecast and methodology, 2016-2021 white paper [EB/OL]. https://www.cisco.com/ c/en/us/solutions/collateral/service-provider/globalcloud-index-gci/white-paper-c11-738085.html, 2019-08-15.

    [20] BROWN D, DELOS P. 28 nm analog-to-digital converters enable next-generation electronic warfare receiver systems [Z]. Analog Devices Inc., 2018.

    [21] ALI A M A, DINC H, BHORASKAR P, et al. A 12-b 18-GS/s RF sampling ADC with an integrated wideband track-and-hold amplifier and background calibration [J]. IEEE J Sol Sta Circ, 2020, 55(12): 3210-3224.

    [22] ALI A M A, DINC H, BHORASKAR P, et al. A 14-bit 25 GS/s and 5 GS/s RF sampling ADC with background calibration and dither [C]// IEEE VLSI Circ. Honolulu, HI, USA. 2016: 206-207.

    [23] SHIBATA H, KOZLOV V, JI Z, et al. A 9-GS/s 1125-GHz BW oversampling continuous-time pipeline ADC achieving -164-dBFS/Hz NSD [J]. IEEE J Sol Sta Circ, 2017, 52(12): 3219-3234.

    [24] STEPANOVIC D, NIKOLIC B. A 28 GS/s 446 mW time-interleaved ADC achieving 509 dB SNDR and 3 dB effective resolution bandwidth of 15 GHz in 65 nm CMOS [J]. IEEE J Sol Sta Circ, 2013, 48(4): 971-982.

    [25] ADIMULAM M K, SRINIVAS M B. A 12-bit, 11-GS/s, low-power flash ADC [J]. IEEE Trans VLSI Syst, 2022, 30(3): 277-290.

    [26] TI Corp. ADC12DJ5200RF 104-GSPS single-channel or 5.2-GSPS dual-channel, 12-bit, RF-sampling analog-to-digital converter (ADC) [EB/OL]. https://www.ti.com/ lit/ds/symlink/adc12dj5200rf.pdf?ts=1626147004434.

    [27] LIU M, ZHANG C X, LIU S B, et al. A 10-bit 25-GS/s two-step ADC with selective time-domain quantization in 28-nm CMOS [J]. IEEE Trans Circ & Syst I: Regu Pap, 2022, 69(3): 1091-1101.

    [28] ZHANG M, ZHU Y, CHAN C, et al. A 20 GS/s 8 b time-interleaved time-domain ADC with input-independent background timing skew calibration [C]// IEEE Symp VLSI Circ. 2021: 970-978.

    [29] KIENE G, CATANIA A, OVERWATER R, et al. A 1 GS/s 6-to-8 b 05 mW/qubit cryo-CMOS SAR ADC for quantum computing in 40 nm CMOS [C]// IEEE ISSCC. San Francisco, CA, USA. 2021: 214-216.

    [30] KULL L, TOI T, SCHMATZ M, et al. A 90 GS/s 8 b 667 mW 64×interleaved SAR ADC in 32 nm digital SOI CMOS [C]// IEEE ISSCC. San Francisco, CA, USA. 2014: 378-379.

    [31] MAASS W. Networks of spiking neurons: the third generation of neural network models [J]. Neural Networks, 1997, 10(9): 1659-1671.

    [32] MAASS W, MARKRAM H. On the computational power of circuits of spiking neurons [J]. J Comput Syst Sci, 2004, 69(4): 593-616.

    [33] BARTOLOZZI C, INDIVERI G. Synaptic dynamics in analog VLSI [J]. Neural Comput, 2007, 19(10): 2581-2603.

    [34] BARTOLOZZI C, MITRA S, INDIVERI G. An ultra low power current mode filter for neuromorphic systems and biomedical signal processing [C]// IEEE BioCAS. 2006: 130-133.

    [35] ANKIT A, EI HAJJ I, CHALAMALASETTI S R, et al. PUMA: a programmable ultra-efficient memristor-based accelerator for machine learning inference [C]// Proceed 24 th Int Conf ASPLOS. New York, NY, USA. 2019: 715-731.

    [36] BAVANDPOUR M, MAHMOODI M R, NILI H, et al. Mixed-signal neuromorphic inference accelerators: recent results and future prospects [C]// IEEE IEDM. San Francisco, CA, USA. 2018: 2041-2044.

    [37] MEAD M. Neuromorphic electronic systems [J]. IEEE Proceed, 1990, 10(10): 1629-1636.

    [38] Top 10 emerging technologies of 2015 [EB/OL]. https://www.weforum.org/agenda/2015/03/top-10-emerging-technologies-of-2015-2, 2017-10-19.

    [39] Global neuromorphic chip market [EB/OL]. https://www.transparencymarketresearch.com/pressrelease/neuromorphic-chip-market.html, 2017-10-19.

    [40] Neuromorphic chip market worth US 18 billion by 2023. [EB/OL]. https://www.marketwatch.com/story/ neuromorphic-chip-market-worth-us18-billion-by-2023-tmr-2017-05-18-82033139, 2017-10-20.

    [41] MEAD C A. Analog VLSI and neural systems [Z]. Addison-Wesley, 1989.

    [42] MEAD C A, MAHOWALD M A. A silicon model of early visual processing [J]. Neural Networks, 1988, 1(1): 91-97.

    [43] LIU S C, HARRIS J G. Generalized smoothing networks in early vision [C]// IEEE Proceed CVPR. 1989: 184-191.

    [44] HARRIS J G, LIU S C, MATHUR B. Discarding outliers using a nonlinear resistive network [C]// IJCNN. 1991: 501-506.

    [45] DELBRUCK T, MEAD C. Bump circuits [C]// IJCNN. 1993: 475-479.

    [46] GENOV R, CAUWENBERGHS G. Charge-mode parallel architecture for vector-matrix multiplication [J]. IEEE Trans Circ & Syst II: Anal & Dig Signal Process, 2001, 48(10): 930-936.

    [47] LIU S C, KRAMER J, INDIVERI G, et al. Analog VLSI: circuits and principles [M]. MIT Press, 2002.

    [48] DIORIO C, HASLER P, MINCH A, et al. A single-transistor silicon synapse [J]. IEEE Trans Elec Dev, 1996, 43(11): 1972-1980.

    [49] STRACHAN J P. Perspective on designing and demonstrating hybrid analog-digital hardware [R]. San Jose, USA: IBM Almaden Research Center, 2019.

    [50] MAHMOODI M R, STRUKOV D B. An ultra low energy internally analog, externally digital vector-matrix multiplier circuit based on NOR flash memory technology [C]// 55th ACM/ESDA/IEEE DAC. San Francisco, CA, USA. 2018: 8465804.

    [51] BANKMAN D, YANG L, MOONS B, et al. An always-on 38 μJ/86% CIFAR-10 mixed-signal binary CNN processor with all memory on chip in 28 nm CMOS [C]// IEEE ISSCC. 2018.

    [52] ZHANG J, WANG Z, VERMA N. A machine-learning classifier implemented in a standard 6T SRAM Array [C]// IEEE Symp VLSI Circuits. 2016.

    [53] PU Y, SHI C L, SAMSON G, et al. A 9-mm2 ultra-low-power highly integrated 28-nm CMOS SoC for internet of things [J]. IEEE J Sol Sta Circ, 2018, 53(3): 936-948.

    [54] ASHTON K. That ‘internet of things’ thing [EB/OL]. http://www.rfidjournal.com/articles/view?4986, 2009.

    [55] LAMPROPOULOS G, SIAKAS K, ANASTASIADIS T. Internet of things in the context of industry 40: an overview [EB/OL]. http://dx.doi.org/102478/IJEK-2019-0001, 2019.

    [56] AL-FUQAHA A, GUIZANI M, MOHAMMADI M, et al. Internet of things: a survey on enabling technologies, protocols, and applications [J]. IEEE Commun Surv Tutor, 2015, 17 (4): 2347-2376.

    [57] HAROUN B. Intelligent sensors: sensing to action [R]. San Jose, USA: IBM Almaden Research Center, 2019: 12-13.

    [58] VOLINI A, SOMMER A, SHACKLETON D, et al. Using smart sensors to drive supply chain innovation [EB/OL].https://www2.deloitte.com/content/dam/Deloitte/us/Documents/process-andoperations/us-cons-smart-sensors.pdf, 2018.

    [59] AHREND U, ALEKSY M, BERNING M, et al. Challenges of the digital transformation: the role of sensors, sensor networks, IoT-devices, and 5G [C]// First Int Conf Societ Autom. 2019: 1-12.

    [60] ONETTI A. Ubiquitous IoT perspectives: the power of connected sensors and actuators [EB/OL]. https://iotweek. blob.core.windows.net/slides, 2017.

    [61] SCHUTZE A, HELWIG N, SCHNEIDER T. Sensors 40 -smart sensors and measurement technology enable industry [J]. J Sensors Syst, 2018, 7 (1): 359-371.

    [62] IEEE standard for a smart transducer interface for sensors and actuators -transducer to microprocessor communication protocols and transducer electronic data sheet (Teds) formats: IEEE Std 14512-1997 [OL]. http://dx.doi.org/101109/IEEESTD.1998.88285.

    [63] MAKINWA K. Smart sensor design [M]. John Wiley & Sons, Ltd., 2017: 1-16.

    [64] FRANK R. Understanding smart sensors [M]. Third Ed, Artech House, 2013: 711.

    [65] RIVERO A A L. From complex mechanical system to complex electronic system: the case of automobiles [J]. Int J Autom Technol Mag, 2014, 14 (1): 65-81.

    [66] FLEMING W J. Overview of automotive sensors [J]. IEEE Sensors J, 2018, 1 (4): 296-308.

    [67] FLEMING W J. New automotive sensors-a review [J]. IEEE Sensors J, 2008, 8 (11): 1900-1921.

    [68] RIBBENS W. Understanding automotive electronics: an engineering perspective [M]. Eighth Ed. Butterworth-Heinemann, Elsevier Inc., 2017: 8.

    [69] BHATTACHARYA S, AGARWAL A K, PRAKASH O, et al. Introduction to sensors for aerospace and automotive applications [M]. Singapore: Springer Nature, 2019: 1-6.

    [70] SMITH G B. Smart sensors for the automotive industry [C]// IEEE Colloqu ASICS. 1992: 6.1-6.3.

    [71] SON J D, NIU G, YANG B S, et al. Development of smart sensors system for machine fault diagnosis [J]. Expert Syst Appl, 2009, 36 (9): 11981-11991.

    [72] LI Z N, WANG P, MEI J M. Vehicle fault diagnose based on smart sensor [J]. Physics Procedia, 2012, 24: 1060-1067.

    [73] SZAKMANY G. Automotive sensors [M]. Springer, 2015: 144-167.

    [74] MURALI G, SUN X Y, YU S M, et al. Heterogeneous mixed-signal monolithic 3-D in-memory computing using resistive RAM [J]. IEEE Trans VLSI Syst, 2021, 29(2): 386-395.

    [75] ZOTA C B, CONVERTINO C, BAUMGARTNER Y, et al. High performance quantum well InGaAs-on-Si MOSFETs with sub-20 nm gate length for RF applications [C]// IEEE IEDM. 2018: 39.41-39.44.

    [76] TESSMANN A, LEUTHER A, HEINZ F, et al. 20-nm In08Ga02As MOSHEMT MMIC technology on silicon [J]. IEEE J Sol Sta Circ, 2019, 54: 2411-2418.

    [77] VAIS A, ALCOTTE R, INGELS M, et al. First demonstration of III-V HBTs on 300 mm Si substrates using nano-ridge engineering [C]// IEEE IEDM. 2019: 9.11-9.14.

    [78] CAIMI D, TIWARI P, SOUSA M, et al. Heterogeneous integration of III-V materials by direct wafer bonding for high-performance electronics and optoelectronics [J]. IEEE Trans Elec Dev, 2021, 68: 3149-3156.

    [79] JEONG J, KIM S K, KIM J M, et al. Stackable InGaAs-on-insulator HEMTs for monolithic 3-D integration [J]. IEEE Trans Elec Dev, 2021, 68, 2205-2211.

    [80] JEONG J Y, KIM S K, KIM J M, et al. High-performance InGaAs-on-insulator HEMTs on Si CMOS for substrate coupling noise-free monolithic 3D mixed-signal IC [C]// IEEE Proceed Symp VLSI Technol. 2021: T1041-T1042.

    [81] JEONG J Y, KIM S K, KIM J M, et al. Heterogeneous and monolithic 3D integration of III-V-based radio frequency devices on Si CMOS circuits [EB/OL]. http://www. acsnano.org, 2020.

    [82] STOJANOVIC V. Integrated silicon photonics for communication and sensing [M]. San Jose, USA: IBM Almaden Research Center, 2019: 12-13.

    [83] SUN C, GEORGAS M, ORCUTT J. A monolithically-integrated chip-to-chip optical link in bulk CMOS [J]. IEEE J Sol Sta Circ, 2015, 50: 828-844.

    [84] STOJANOVI′C V, RAM R J, POPOVIC M, et al. Monolithic silicon-photonic platforms in state-of-the-art CMOS SOI processes [J]. Opti Expr, 2018, 26(10): 13106.

    [85] NARASIMHA A, ANALUI B, BALMATER E, et al. A 40-Gb/s QSFP optoelectronic transceiver in a 013 μm CMOS silicon-on-insulator technology [C]// Optical Fiber Commun Conf, OSA. 2008: 1-3.

    [86] FEILCHENFELD N B, ERSON F G, BARWICZ T, et al. An integrated silicon photonics technology for O-band datacom [C]// IEEE IEDM. 2015: 25.7.1-25.7.4.

    [87] ZIMMERMANN L, KNOLL D, KROH M, et al. BiCMOS silicon photonics platform [C]// Optical Fiber Commun Conf, OSA. 2015: 4E.5.

    [88] BUCKWALTER J F, ZHENG X, LI G, et al. A monolithic 25-Gb/s transceiver with photonic ring modulators and Ge detectors in a 130-nm CMOS SOI process [J]. IEEE J Sol Sta Circ, 2012, 47(6): 1309-1322.

    WANG Ying, LAI Fan. The Frontier Research Advances in Analog Microelectronics and Applied Technologies[J]. Microelectronics, 2022, 52(3): 339
    Download Citation