• Microelectronics
  • Vol. 52, Issue 4, 623 (2022)
LIU Yiqun1, ZHANG Hongwei2, and DAI Fengwei3、4
Author Affiliations
  • 1[in Chinese]
  • 2[in Chinese]
  • 3[in Chinese]
  • 4[in Chinese]
  • show less
    DOI: 10.13911/j.cnki.1004-3365.210400 Cite this Article
    LIU Yiqun, ZHANG Hongwei, DAI Fengwei. Progress on Cu/SiO2 Wafer-Level Hybrid Bonding Technology for 3D Integration Applications[J]. Microelectronics, 2022, 52(4): 623 Copy Citation Text show less
    References

    [1] MOORE G E. Cramming more components onto integrated circuits [J]. Electronics, 1965, 38(8): 114-117.

    [2] LUNDSTROM M. Moore’s law forever? [J]. Science, 2003, 299(5604): 210-211.

    [3] KAWAURA H, SAKAMOTO T, BABA T. Observation of source-to-drain direct tunneling current in 8 nm gate electrically variable shallow junction metal-oxide- semiconductor field-effect transistors [J]. Appl Phys Lett, 2000, 76(25): 3810-3812.

    [4] IBRAHIM M. 2.5D/3D TSV & wafer-level stacking technology & market updates 2019 [J]. Yole Development, 2019.

    [5] LASKY J B. Wafer bonding for silicon-on-insulator technologies [J]. Appl Phys Lett, 1986, 48(1): 78-80.

    [6] GUEGUEN P, VENTOSA C, CIOCCIO L D, et al. Physics of direct bonding: applications to 3D heterogeneous or monolithic integration [J]. Microelectron Engineer, 2010, 87(3): 477-484.

    [7] CHRISTIANSEN S H, SINGH R, GOSELE U. Wafer direct bonding: from advanced substrate engineering to future applications in micro/nanoelectronics [J]. Proceed IEEE, 2006, 94(12): 2060-2106.

    [8] WANG P I, LEE S H, PARKER T C, et al. Low temperature wafer bonding by copper nanorod array [J]. Electrochem Sol Sta Lett, 2009, 12(4): 38-41.

    [9] KERN W. Handbook of semiconductor wafer cleaning technology: science, technology, and applications [M]. New York: Noyes Publications, 1993: 113-115.

    [10] GSELE U, STENZEL H, MARTINI T, et al. Self-propagating room-temperature silicon wafer bonding in ultrahigh vacuum [J]. Appl Phys Lett, 1995, 67(24): 3614-3616.

    [11] GSELE U, TONG Q, SCHUMACHER A, et al. Wafer bonding for microsystems technologies [J]. Sens. Actuator A Phys, 1999, 74(1): 161-168.

    [12] TONG Q Y, GSELE U. Semiconductor wafer bonding: science and technology [J]. Annu Rev Mater Sci, 1998, 37(1): 215-241.

    [13] GUEGUEN P, VENTOSA C, CIOCCIO L D, et al. Copper direct-bonding characterization and its interests for 3D integration [J]. J Electrochem Soc, 2009, 156(10): H772-H776.

    [14] CHUNG T R, YANG L, HOSODA N, et al. Wafer direct bonding of compound semiconductors and silicon at room temperature by the surface activated bonding method [J]. Appl Surf Sci, 1997, 117(6): 808-812.

    [15] HOWLADER M, KIBRIA M, ZHANG F. Void-free strong bonding of surface activated silicon wafers from room temperature to annealing at 600 ℃ [J]. Thin Solid Films, 2010, 519(2): 804-808.

    [16] SANZ-VELASCO A, AMIRFEIZ P, BENGTSSON S, et al. Room temperature wafer bonding using oxygen plasma treatment in ICP RIE and RIE [J]. J Electrochem Soc, 2003, 150(2): G155-G162.

    [17] PLACH T, HINGERL K, DRAGOI V, et al. Mechanisms for ultra-low temperature plasma activated direct wafer bonding [J]. Plasma Activation, 2012, MA02: 2989.

    [19] PLACH T, HINGERL K, TOLLABIMAZRAEHNO S, et al. Mechanisms for room temperature direct wafer bonding [J]. J Appl Phys, 2013, 113(9): 094905.

    [20] SHIGETOU A, SUGA T. Modified diffusion bonding of chemical mechanical polishing Cu at 150 ℃ at ambient pressure [J]. Appl Phys Expr, 2009, 2(5): 056501.

    [21] MARAUSKA S, CLAUS M, LISEC T, et al. Low temperature transient liquid phase bonding of Au/Sn and Cu/Sn electroplated material systems for MEMS wafer-level packaging [J]. Microsyst Technol, 2013, 19(8): 1119-1130.

    [22] HUFFMAN A, LUECK M, BOWER C, et al. Effects of assembly process parameters on the structure and thermal stability of Sn-capped Cu bump bonds [C]// 57th ECTC. Sparks, NV, USA. 2007: 1589-1596.

    [23] BRINCKER M, SOEHL S, EISELE R, et al. Strength and reliability of low temperature transient liquid phase bonded Cu-Sn-Cu interconnects [J]. Microelec Reliab, 2017: 76-77.

    [25] ZHANG W, LIMAYE P, CIVALE Y, et al. Fine pitch Cu/Sn solid state diffusion bonding for making high yield bump interconnections and its application in 3D integration [C]// 3rd ECTC. Berlin, Germany. 2010: 1-4.

    [26] LIU J H, ZHAO H Y, LI Z L, et al. Study on the microstructure and mechanical properties of Cu-Sn intermetallic joints rapidly formed by ultrasonic-assisted transient liquid phase soldering [J]. J Alloys Compound, 2017, 692: 552-557.

    [27] HUNG C J, TIAN Y, RUI Z, et al. Phase transformation and grain orientation of Cu-Sn intermetallic compounds during low temperature bonding process [J]. J Mater Sci: Mater Electron, 2013, 24(10): 3905-3913.

    [28] ENQUIST P, FOUNTAIN G, PETTEWAY C, et al. Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications [C]// 3DIC. San Francisco, CA, USA. 2009: 1-6.

    [29] TONG Q Y. Room temperature metal direct bonding [J]. Appl Phys Lett, 2006, 89(18): 182101.

    [30] INVENSAS. Semiconductor technologies: DBI and DBI ultra [EB/OL]. https://www.invensas.com/technologies/ dbi/, 2020.

    [31] WANG L, FOUNTAIN G, LEE B, et al. Direct bond interconnect (DBI) for fine-pitch bonding in 3D and 2.5D integrated circuits [C]// Pan Pacific. Kauai, HI, USA. 2017: 1-6.

    [33] ARNAUD L, KARAM C, BRESSON N, et al. Three-dimensional hybrid bonding integration challenges and solutions toward multi-wafer stacking [J]. MRS Commun, 2020, 10: 549-557.

    [34] BALAN V, SEIGNARD A, SCEVOLA D, et al. CMP process optimization for bonding applications [C]// ICPT. Grenoble, France. 2012: 1-7.

    [35] LHOSTIS S, FARCY A, DELOFFRE E, et al. Reliable 300 mm wafer level hybrid bonding for 3D stacked CMOS image sensors [C]// 66th ECTC. Las Vegas, NV, USA. 2016: 869-876.

    [36] KIM S W, DETALLE M, LAN P, et al. Ultra-fine pitch 3D integration using face-to-face hybrid wafer bonding combined with a via-middle through-silicon-via process [C]// 66th ECTC. Las Vegas, NV, USA. 2016: 1179-1185.

    [37] MUDRICK J P. Sub-10 nm pitch hybrid direct bond interconnect development for die-to-die hybridization [C]// 69th ECTC. Las Vegas, NV, USA. 2019: 648-654.

    [38] HU Z J, QU X P, LIN H, et al. Cu CMP process development and characterization of Cu dishing with 1.8 μm Cu pad and 3.6 μm pitch in Cu/SiO2 hybrid bonding [J]. Jpn J Appl Phys, 2019, (SH): SHHC01.1-SHHC01.7.

    [39] FAN A, RAHMAN A, REIF R. Copper wafer bonding [J]. Electrochem Sol Sta Lett, 1999, 2(10): 534-536.

    [40] KANG S G, LEE J, KIM E S, et al. Fabrication and challenges of Cu-to-Cu wafer bonding [J]. Microelectron Packag Soc, 2012, 19(2): 29-33.

    [41] SUGA T, FUJINO M, AKAIKE M, et al. Combined surface activated bonding using H-containing HCOOH vapor treatment for Cu/adhesive hybrid bonding at below 200 ℃ [J]. Appl Surf Sci, 2017, 414: 163-170.

    [42] PARK M, BAEK S, KIM S, et al. Argon plasma treatment on Cu surface for Cu bonding in 3D integration and their characteristics [J]. Appl Surf Sci, 2015, 324: 168-173.

    [43] HAN K S, KIM S E, KIM G, et al. Effects of two-step plasma treatment on Cu and SiO2 surfaces for 3D bonding applications [C]// 70th ECTC. Orlando, FL, USA. 2020: 1677-1683.

    [44] RAUER C, MORICEAU H, FOURNEL F, et al. Treatments of deposited SiOx surfaces enabling low temperature direct bonding [J]. ECS Trans, 2013, 50(7): 287-295.

    [45] SON S, MIN J, JUNG E, et al. Characteristics of plasma-activated dielectric film surfaces for direct wafer bonding [C]// 70th ECTC. Orlando, FL, USA. 2020: 2025-2032.

    [46] WANG C, SUGA T. Room-temperature direct bonding using fluorine containing plasma activation [J]. J Electrochem Soc, 2011, 158(5): 525-529.

    [47] WANG C, SUGA T. Investigation of fluorine containing plasma activation for room-temperature bonding of Si-based materials [J]. Microelectron Reliab, 2012, 52(2): 347-351.

    [48] WANG C, LIU Y, SUGA T. A comparative study: void formation in silicon wafer direct bonding by oxygen plasma activation with and without fluorine [J]. ECS J Sol Sta Sci Tech, 2017, 6(1): 7-13.

    [49] UTSUMI J, IDE K, ICHIYANAGI Y. Cu/SiO2 hybrid bonding obtained by surface-activated bonding method at room temperature using Si ultrathin films [J]. Micro Nano Eng, 2019, 2: 1-6.

    [50] HE R, FUJINO M, SUGA T, et al. Combined surface-activated bonding technique for low-temperature Cu/dielectric hybrid bonding [J]. ECS J Sol Sta Sci Technol, 2016, 5(7): 419-424.

    [51] TAKAGI H, UTSUMI J, TAKAHASHI M, et al. Room-temperature bonding of oxide wafers by Ar-beam surface activation [J]. ECS Trans, 2008, 16: 531.

    [52] HE R, FUJINO M, YAMAUCHI A, et al. Combined surface-activated bonding technique for low-temperature hydrophilic direct wafer bonding [J]. Jpn J Appl Phys, 2016, 55: 04EC02.

    [53] SHIGETOU A, ITOH T, SUGA T. Direct bonding of CMP-Cu films by surface activated bonding (SAB) method [J]. J Mater Sci, 2005, 40: 3149-3154.

    [54] HE R, FUJINO M, YAMAUCHI A, et al. Combined surface activated bonding technique for low-temperature Cu/dielectric hybrid bonding [J]. ECS J Sol Sta Sci Tech, 2016, 5(7): 419-424.

    [56] LIU C M, LIN H W, HUANG Y S, et al. Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu [J]. Scientific Reports, 2015, 5: 1-11.

    [57] DEGUET C, LEGROS M, SIGNAMARCHEIX T, et al. Mechanisms of copper direct bonding observed by in-situ and quantitative transmission electron microscopy [J]. Thin Solid Films, 2013, 530: 96-99.

    [58] CHEN K N, FAN A, REIF R. Interfacial morphologies and possible mechanisms of copper wafer bonding [J]. J Mater Sci, 2002, 37(16): 3441-3446.

    [59] JUANG J Y, CHU Y C, LU C L, et al. Low temperature Cu-Cu direct bonding by (111) oriented nano-twin Cu [C]// LTB-3D. Tokyo, Japan. 2017.

    [60] LU L, CHEN X, HUANG X, et al. Revealing the maximum strength in nanotwinned copper [J]. Science, 2009, 323(5914): 607-610.

    [61] JUANG J Y, SHIE K C, CHEN C, et al. Low resistance and high-strength copper direct bonding in no-vacuum ambient using highly (111)-oriented nano-twinned copper [C]// 69th ECTC. Las Vegas, NV, USA. 2019: 644-648.

    [62] HERRING C. Diffusional viscosity of a polycrydtalline solid [J]. J Appl Phys, 1950, 21: 437-445.

    [63] LIU D, CHEN P C, HSIUNG C K, et al. Low temperature Cu/SiO2 hybrid bonding with metal passivation [C]// IEEE Symp VLSI Tech. Honolulu, HI, USA. 2020: 1-2.

    LIU Yiqun, ZHANG Hongwei, DAI Fengwei. Progress on Cu/SiO2 Wafer-Level Hybrid Bonding Technology for 3D Integration Applications[J]. Microelectronics, 2022, 52(4): 623
    Download Citation