• Acta Photonica Sinica
  • Vol. 51, Issue 8, 0851501 (2022)
Zhishan GAO, Qun YUAN, Yifeng SUN, Jianqiu MA, Zhenyan GUO, Dan ZHU, Yuqing ZHAO, Xiao HUO, Shumin WANG, Jiale ZHANG, Xing ZHOU, Chunxia WU, and Xiaoxin FAN
Author Affiliations
  • [in Chinese]
  • show less
    DOI: 10.3788/gzxb20225108.0851501 Cite this Article
    Zhishan GAO, Qun YUAN, Yifeng SUN, Jianqiu MA, Zhenyan GUO, Dan ZHU, Yuqing ZHAO, Xiao HUO, Shumin WANG, Jiale ZHANG, Xing ZHOU, Chunxia WU, Xiaoxin FAN. Non-destructive Test Methods of Microstructures by Optical Microscopy(Invited)[J]. Acta Photonica Sinica, 2022, 51(8): 0851501 Copy Citation Text show less
    References

    [1] D GRÄFE, A WICKBERG, M M ZIEGER et al. Adding chemically selective subtraction to multi-material 3D additive manufacturing. Nature Communications, 9, 2788-2793(2018).

    [2] P I DIETRICH, M BLAICHER, I REUTER et al. In situ 3D nanoprinting of free-form coupling elements for hybrid photonic integration. Nature Photonics, 12, 241-247(2018).

    [3] S LIU, X G CHEN, T J YANG et al. Machine learning aided solution to the inverse problem in optical scatterometry. Measurement, 191, 110811(2022).

    [4] Xiaodong ZHANG, Suoyin LI, Zhiguo HAN et al. Effect analysis of surface metal layer on step height standard. Modern Physics Letters B, 35, 2140006(2020).

    [5] J R WILSON, J S CRONIN, S A BARNETT et al. Measurement of three-dimensional microstructure in a LiCoO2 positive electrode. Journal of Power Sources, 196, 3443-3447(2011).

    [6] Huiliang CAO, Bo YANG, Lu XU等. Design of MEMS gyroscope chip-level temperature control system. Journal of Southeast University (Natural Science Edition), 43, 5(2013).

    [7] Linfei WANG. Research and design of lithium-ion battery charge and discharge protection chip(2011).

    [8] Lichun HAO, Yonggang MENG. Picosecond laser processing and friction and wear properties of micro-dimple texture. Mechanical Engineering and Automation, 1-3(2017).

    [9] J MA, C PRUSS, HAFNERM et al. Systematic analysis of the measurement of cone angles using high line density computer-generated holograms. Optical Engineering, 50, 055801(2011).

    [10] Z GAO, M KONG, R ZHU et al. Problems on design of computer-generated holograms for testing aspheric surfaces: principle and calculation. Chinese Optics Letters, 5, 241-244(2007).

    [11] X CHE, R GAO, Y YU et al. Generalized phase profile design method for tunable devices using bilayer metasurfaces. Optics Express, 29, 44214-44226(2021).

    [12] Yuanan ZHAO, Jianda SHAO, Xiaofeng LIU等. Laser damage of optical components. Intense Laser and Particle Beam, 34, 61-72(2022).

    [13] L LIU, G LI, W ZHOU et al. Potential use of laser-induced breakdown spectroscopy combined laser cleaning for inspection of particle defect components on silicon wafer. Journal of Micro/Nanolithography, MEMS, and MOEMS, 18, 034002(2019).

    [14] Shitong WANG, Yongying YANG, Limin ZHAO等. Numerical simulation research on scattering light imaging of surface defects of optical components. Chinese Journal of Lasers, 42, 0708005(2015).

    [15] D LIU, S WANG, P CAO et al. Dark-field microscopic image stitching method for surface defects evaluation of large fine optics. Optics Express, 21, 5974-5987(2013).

    [16] L LI, D LIU, P CAO et al. Automated discrimination between digs and dust particles on optical surfaces with dark-field scattering microscopy. Applied Optics, 53, 5131-5140(2014).

    [17] G Y TIAN, R S LU, D GLEDHILL. Surface measurement using active vision and light scattering. Optics and Lasers in Engineering, 45, 131-139(2007).

    [18] Tengda ZHANG, Rongsheng LU, Shuzhen ZHANG. Surface defect inspection of TFT-LCD panels based on 2D DFT. Opto-Electronic Engineering, 43, 7-15(2016).

    [19] Rongsheng LU, Ang WU, Tengda ZHANG等. Review on automated optical (visual) inspection and its applications in defect detection. Acta Optica Sinica, 38, 0815002(2018).

    [20] J LIU, J TAN, H BIN et al. Improved differential confocal microscopy with ultrahigh signal-to-noise ratio and reflectance disturbance resistibility. Applied Optics, 48, 6195-6201(2009).

    [21] J LIU, J TAN, C ZHAO et al. Phase-shift resolving confocal microscopy with high axial resolution, wide range and reflectance disturbance resistibility. Optics Express, 17, 16281-16290(2009).

    [22] Jian LIU. Microscience and theory of super-resolution phase shifted confocal and complex-chromatic differential confocal scanning(2009).

    [23] Jianpu ZHANG, Huanyu SUN, Shiling WANG等. Three-dimensional reconstruction technology of subsurface defects in fused silica optical components. Acta Optica Sinica, 42, 0216001(2020).

    [24] Jingzhen LI. Optical manual(2010).

    [25] J B PAWLEY. Handbook of biological confocal microscopy. Springer Science Business Media, LLC(2006).

    [26] A TAKUYA, T KEI. Super-resolution spinning-disk confocal microscopy using optical photon reassignment. Optics Express, 23, 5003-5011(2015).

    [27] Guannan LI, Junkai SHI, Xiaomei CHEN等. Through-focus scanning optical microscopy method for measurement research based on machine learning. Chinese Optics. http://www.chineseoptics.net.cn/cn/article/doi/10.37188/CO.2022-0009

    [28] S HUO, H WANG, C HU et al. Measuring the multilayer silicon based microstructure using differential reflectance spectroscopy. Optics Express, 29, 3114-3122(2021).

    [29] C YAO, W SHEN, X HU et al. Optical properties of large-size and damage-free polished Lu2O3 single crystal covering the ultraviolet-visible-and near-infrared (UV-VIS-NIR) spectral region. Journal of Alloys and Compounds, 897, 162726(2022).

    [30] Y S KU, A S LIU, N SMITH. Through-focus technique for nano-scale grating pitch and linewidth analysis. Optics Express, 13, 6699-6708(2005).

    [31] Y S KU, A S LIU, N P SMITH. Through-focus technique for grating linewidth analysis with nanometer sensitivity. Optical Engineering, 45, 123602(2006).

    [32] R ATTOTA, R SILVER, R DIXSON. Linewidth measurement technique using through-focus optical images. Applied optics, 47, 495-503(2008).

    [33] R ATTOTA, T A GERMER, R M SILVER. Through-focus scanning-optical-microscope imaging method for nanoscale dimensional analysis. Optics Letters, 33, 1990-1992(2008).

    [34] A HARASAKI, J C WYANT. Fringe modulation skewing effect in white-light vertical scanning interferometry. Applied Optics, 39, 2101-2106(2000).

    [35] Hongxia ZHANG, Yimo ZHANG, Wencai JING等. Mirau phase-shifting interferometer for microsurface topography measurement. Transactions of Tianjin University, 377-380(2005).

    [36] Zhishan GAO, Jinbang CHEN. New advances in measurement fundamental of microscopy interferometry & interferometric microscopes for surface microtopography. Institute of Electronic Engineering, 36-42(1999).

    [37] Y SUN, H YU, J MA et al. Spurious fringe processing for dielectric metasurface profile measurement using white-light scanning interferometry. Applied Optics, 60, 215-223(2021).

    [38] L XIN, X LIU, Z YANG et al. Three-dimensional reconstruction of super-resolved white-light interferograms based on deep learning. Optics and Lasers in Engineering, 145, 106663(2021).

    [39] Zhishan GAO, Yifeng SUN, Haobiao YU等. Detection method of 3D micro-structure based on microscopic interference. Electronics Optics & Control, 26, 1-5(2019).

    [40] Zhishan GAO, Yuqing ZHAO, Jianqiu MA等. Reviews in interferometric test technology of wide spectrum surface profile. Journal of Astronautic Metrology and Measurement, 41, 1-8(2021).

    [41] Jie HU, Qun YUAN, Haobiao YU等. Assembly error tolerance of interferometer plate in mirau interference-microscope objective. Chinese Journal of Lasers, 46, 154-160(2019).

    [42] Y F SUN, Z S GAO, J Q MA et al. Surface topography measurement of microstructures near the lateral resolution limit via coherence scanning interferometry. Optics and Lasers in Engineering, 152, 106949(2022).

    [43] Yifeng SUN, Zhishan GAO, Xiaoxin FAN等. Signal processing for height measurement of high-aspect-ratio structure based on low-coherence interferometry. Acta Photonica Sinica(2022). https://kns.cnki.net/kcms/detail/detail.aspx?dbcode=CAPJ&dbname=CAPJLAST&filename=GZXB20220725000&uniplatform=NZKPT&v=PRT7gCb4FmeLXE0KN9l-9T9t7i79YEEWRE_0FjNQgBtxN8hHWnVJUbycsmF4L0qe

    [44] Chao ZHANG, Qun YUAN, Jiale ZHANG等. Calibration of the phase-shifting error for the tomography measurement utilizing white light interferometric microscopy. Infrared and Laser Engineering, 1-10. http://kns.cnki.net/kcms/detail/12.1261.TN.20220325.1548.010.html

    [45] Shuaifei SHI. Theoretical and experimental study on the effect of batwing-effect on three-dimensional resolution of white light interference microscopy(2020).

    [46] W XIE, P LEHMANN, J NIEHUES et al. Signal modeling in low coherence interference microscopy on example of rectangular grating. Optics Express, 24, 14283-14300(2016).

    [47] M TOTZECK. Numerical simulation of high-NA quantitative polarization microscopy and corresponding near-fields. Optik, 112, 399-406(2001).

    [48] A TAVROV, J SCHMIT, N KERWIEN et al. Diffraction-induced coherence levels. Applied Optics, 44, 2202-2212(2005).

    [49] P D GROOT, C XAVIER. Signal modeling for low-coherence height-scanning interference microscopy. Applied Optics, 43, 4821-4830(2004).

    [50] J COUPLAND, R MANDAL, K PALODHI et al. Coherence scanning interferometry: linear theory of surface measurement. Applied Optics, 52, 3662-3670(2013).

    [51] P LEHMANN, W XIE, J NIEHUES. Transfer characteristics of rectangular phase gratings in interference microscopy. Optics Letters, 37, 758-760(2012).

    [52] W XIE, P LEHMANN, J NIEHUES. Lateral resolution and transfer characteristics of vertical scanning white-light interferometers. Applied Optics, 51, 1795(2012).

    [53] W XIE. Transfer characteristics of white light interferometers and confocal microscopes(2017).

    [54] P LEHMANN, W XIE, A BENEDIKT et al. Coherence scanning and phase imaging optical interference microscopy at the lateral resolution limit. Optics Express, 26, 7376-7389(2018).

    [55] R SU, J M COUPLAND, C SHEPPARD et al. Scattering and three-dimensional imaging in surface-topography measuring interference microscopy. Journal of the Optical Society of America A, 38, A27-A42(2021).

    [56] P GROOT, X LEGA. Fourier optics modeling of interference microscopes. Journal of the Optical Society of America A, 37, B1-B10(2020).

    [57] J LIU, G U KANG, Z MENG et al. Calibration method for depth measurement of nano/microstructure in scanning probe microscopy. Acta Metrologica Sinica, 40, 549-556(2019).

    [58] Jian LIU, Kang GU, Mengzhou LI等. 3D measurement decoupling criterion in optical microscopy. Infrared and Laser Engineering, 46, 8-14(2017).

    [59] H AHN, J BAE, J PARK et al. A hybrid non-destructive measuring method of three-dimensional profile of through silicon vias for realization of smart devices. Scientific Reports, 8, 1-9(2018).

    [60] J JIN, J W KIM, C S KANG et al. Precision depth measurement of Through Silicon Vias (TSVs) on 3D semiconductor packaging process. Optics Express, 20, 5011-5016(2012).

    [61] Yi LIU. Research about profile measurement of MEMS devices based on infrared white light interferometry technology(2010).

    [62] B PENG, W HOU, Q XU. Precision 3D profile in-line measurement of through-silicon via (TSV) based on high-frequency spectrum signals in the pupil plane. Optics Communications, 424, 107-112(2018).

    [63] C WANG, X G CHEN, C CHEN et al. Reconstruction of finite deep sub-wavelength nanostructures by Mueller-matrix scattered-field microscopy. Optics Express, 29, 32158-32168(2021).

    [64] X B TAN, X Z TU, J C ZHANG et al. Snapshot multiwavelength interference microscope. Optics Express, 26, 18279-18291(2018).

    [65] D WANG, R LIANG. Simultaneous polarization Mirau interferometer based on pixelated polarization camera. Optics Letters, 41, 41-44(2016).

    Zhishan GAO, Qun YUAN, Yifeng SUN, Jianqiu MA, Zhenyan GUO, Dan ZHU, Yuqing ZHAO, Xiao HUO, Shumin WANG, Jiale ZHANG, Xing ZHOU, Chunxia WU, Xiaoxin FAN. Non-destructive Test Methods of Microstructures by Optical Microscopy(Invited)[J]. Acta Photonica Sinica, 2022, 51(8): 0851501
    Download Citation