• International Journal of Extreme Manufacturing
  • Vol. 3, Issue 1, 12001 (2021)
Shixuan He1、2, Rong Tian1, Wei Wu3, Wen-Di Li4, and Deqiang Wang1、*
Author Affiliations
  • 1Chongqing Key Laboratory of Multi-scale Manufacturing Technology, Chongqing Institute of Green and Intelligent Technology, Chinese Academy of Sciences, Chongqing 400714, People’s Republic of China
  • 2College of Physics, Sichuan University, Chengdu, Sichuan 610065, People’s Republic of China
  • 3Department of Electrical Engineering, University of Southern California, Los Angeles, CA 90089, United States of America
  • 4Department of Mechanical Engineering, The University of Hong Kong, Pokfulam, Hong Kong, People’s Republic of China
  • show less
    DOI: 10.1088/2631-7990/abc673 Cite this Article
    Shixuan He, Rong Tian, Wei Wu, Wen-Di Li, Deqiang Wang. Helium-ion-beam nanofabrication: extreme processes and applications[J]. International Journal of Extreme Manufacturing, 2021, 3(1): 12001 Copy Citation Text show less
    References

    [1] Scipioni L, Stern L A, Notte J, Sijbrandij S and Griffin B 2008 Helium ion microscope Adv. Mater. Processes 166 27–30

    [2] Cohen-Tanugi D and Yao N 2008 Superior imaging resolution in scanning helium-ion microscopy: a look at beam-sample interactions J. Appl. Phys. 104 063504

    [3] Zahid O K and Hall A R 2016 Helium ion microscope fabrication of solid-state nanopore devices for biomolecule analysis Helium Ion Microscopy, ed G Hlawacek and A Glzh auser (Berlin: Springer) pp 447–70

    [4] Hill R, Notte J A and Scipioni L 2012 Scanning helium ion microscopy Adv. Imaging Electron Phys. 170 65–148

    [5] Tan S D and Livengood R 2016 Applications of GFIS in semiconductors Helium Ion Microscopy, ed G Hlawacek and A Glzh auser (Berlin: Springer) pp 471–98

    [6] Scipioni L, Alkemade P, Sidorkin V, Chen P, Maas D and van Veldhoven E 2009 The helium ion microscope: advances in technology and applications Am. Lab. 41 26–8

    [7] Boden S A, Asadollahbaik A, Rutt H N and Bagnall D M 2012 Helium ion microscopy of Lepidoptera scales Scanning 34 107–20

    [8] Alkemade P F A and van Veldhoven E 2012 Deposition, milling, and etching with a focused helium ion beam Nanofabrication eds M Stepanova and S Dew (Berlin: Springer) pp 275–300

    [9] Shorubalko I, Pillatsch L and Utke I 2016 Direct-write milling and deposition with noble gases Helium Ion Microscopy, ed G Hlawacek and A Glzh auser (Berlin: Springer) pp 355–93

    [10] Yang J J, Ferranti D C, Stern L A, Sanford C A, Huang J, Ren Z, Qin L C and Hall A R 2011 Rapid and precise scanning helium ion microscope milling of solid-state nanopores for biomolecule detection Nanotechnology 22 285310

    [11] Sawafta F, Clancy B, Carlsen A T, Huber M and Hall A R 2014 Solid-state nanopores and nanopore arrays optimized for optical detection Nanoscale 6 6991–6

    [12] Emmrich D, Beyer A, Nadzeyka A, Bauerdick S, Meyer J C, Kotakoski J and Glzh auser A 2016 Nanopore fabrication and characterization by helium ion microscopy Appl. Phys. Lett. 108 163103

    [13] Lemme M C, Bell D C, Williams J R, Stern L A, Baugher B W H, Jarillo-Herrero P and Marcus C M 2009 Etching of graphene devices with a helium ion beam ACS Nano 3 2674–6

    [14] Xia D Y, Huynh C, McVey S, Kobler A, Stern L, Yuan Z S and Ling X S 2018 Rapid fabrication of solid-state nanopores with high reproducibility over a large area using a helium ion microscope Nanoscale 10 5198–204

    [15] Deng Y S, Huang Q M, Zhao Y, Zhou D M, Ying C F and Wang D Q 2016 Precise fabrication of a 5 nm graphene nanopore with a helium ion microscope for biomolecule detection Nanotechnology 28 045302

    [16] Wang H T, Xie W Y, Wang Y J, Zhu J F, Liu M W, Lu W Q, Deng Y S, Wang G D and Wang D Q 2018 Fabrication of 3D nanovolcano-shaped nanopores with helium ion microscopy J. Vac. Sci. Technol. B 36 011603

    [17] Alkemade P F A and Miro H 2014 Focused helium-ion-beam-induced deposition Appl. Phys. A 117 1727–47

    [18] Utke I, Hoffmann P and Melngailis J 2008 Gas-assisted focused electron beam and ion beam processing and fabrication J. Vac. Sci. Technol. B 26 1197–276

    [19] Drezner Y, Greenzweig Y, Fishman D, van Veldhoven E, Maas D J, Raveh A and Livengood R H 2012 Structural characterization of He ion microscope platinum deposition and sub-surface silicon damage J. Vac. Sci. Technol. B 30 041210

    [20] Shi X Q and Boden S A 2016 Scanning helium ion beam lithography Front. Nanosci. 11 563–94

    [21] Nanda G, Hlawacek G, Goswami S, Watanabe K and Taniguchi T 2017 Alkemade P F A. Electronic transport in helium-ion-beam etched encapsulated graphene nanoribbons Carbon 119 419–25

    [22] Abbas A N, Liu G, Liu B L, Zhang L Y, Liu H, Ohlberg D, Wu W and Zhou C W 2014 Patterning, characterization, and chemical sensing applications of graphene nanoribbon arrays down to 5 nm using helium ion beam lithography ACS Nano 8 1538–46

    [23] Kollmann H et al 2014 Toward plasmonics with nanometer precision: nonlinear optics of helium-ion milled gold nanoantennas Nano Lett. 14 4778–84

    [24] Scholder O, Jefimovs K, Shorubalko I, Hafner C, Sennhauser U and Bona G L 2013 Helium focused ion beam fabricated plasmonic antennas with sub-5 nm gaps Nanotechnology 24 395301

    [25] Reimer L 2000 Scanning electron microscopy: physics of image formation and microanalysis, second edition Meas. Sci. Technol. 11 1826

    [26] Arey B W, Shutthanandan V and Jiang W 2010 Helium Ion Microscopy Versus Scanning Electron Microscopy (New York: Wiley)

    [27] Bell D C 2009 Contrast mechanisms and image formation in helium ion microscopy Microsc. Microanal. 15 147–53

    [28] Hlawacek G, Veligura V, van Gastel R and Poelsema B 2014 Helium ion microscopy J. Vac. Sci. Technol. B 32 020801

    [29] Scipioni L, Sanford C A, Notte J, Thompson B and McVey S 2009 Understanding imaging modes in the helium ion microscope J. Vac. Sci. Technol. B 27 3250–5

    [30] Wirtz T, De Castro O, Audinot J N and Philipp P 2019 Imaging and analytics on the helium ion microscope Annu. Rev. Anal. Chem. 12 523–43

    [31] Ward B W, Notte J A and Economou N P 2006 Helium ion microscope: a new tool for nanoscale microscopy and metrology J. Vac. Sci. Technol. B 24 2871–4

    [32] Glzh auser A and Hlawacek G 2016 HIM of biological samples Helium Ion Microscopy, ed G Hlawacek and A Glzh auser (Berlin: Springer) pp 173–85

    [33] Bazou D, Behan G, Reid C, Boland J J and Zhang H Z 2011 Imaging of human colon cancer cells using He-Ion scanning microscopy J. Microsc. 242 290–4

    [34] Vanden Berg-Foels W S, Scipioni L, Huynh C and Wen X 2012 Helium ion microscopy for high-resolution visualization of the articular cartilage collagen network J. Microsc. 246 168–76

    [35] Joens M S et al 2013 Helium Ion Microscopy (HIM) for the imaging of biological samples at sub-nanometer resolution Sci. Rep. 3 3514

    [36] Boseman A, Nowlin K, Ashraf S, Yang J J and LaJeunesse D 2013 Ultrastructural analysis of wild type and mutant Drosophila melanogaster using helium ion microscopy Micron 51 26–35

    [37] Rice W L, van Hoek A N, Paunescu T G, Huynh C, Goetze B, Singh B, Scipioni L, Stern L A and Brown D 2013 High resolution helium ion scanning microscopy of the rat kidney PloS One 8 e57051

    [38] Leppanen M, Sundberg L R, Laanto E, de Freitas Almeida G M, Papponen P and Maasilta I J 2017 Imaging bacterial colonies and phage–bacterium interaction at sub-nanometer resolution using helium-ion microscopy Adv. Biosyst. 1 1700070

    [39] Dykas M M, Poddar K, Yoong S L, Viswanathan V, Mathew S, Patra A, Saha S, Pastorin G and Venkatesan T 2018 Enhancing image contrast of carbon nanotubes on cellular background using helium ion microscope by varying helium ion fluence J. Microsc. 269 14–22

    [40] Iberi V, Vlassiouk I, Zhang X G, Matola B, Linn A, Joy D C and Rondinone A J 2015 Maskless lithography and in situ visualization of conductivity of graphene using helium ion microscopy Sci. Rep. 5 11952

    [41] Sakai C, Ishida N, Masuda H, Nagano S, Kitahara M, Ogata Y and Fujita D 2016 Active voltage contrast imaging of cross-sectional surface of multilayer ceramic capacitor using helium ion microscopy Appl. Phys. Lett. 109 051603

    [42] Sakai C, Ishida N, Nagano S, Onishi K and Fujita D 2018 In situ voltage-application system for active voltage contrast imaging in helium ion microscope J. Vac. Sci. Technol. B 36 042903

    [43] Xia D Y, McVey S, Huynh C and Kuehn W 2019 Defect localization and nanofabrication for conductive structures with voltage contrast in helium ion microscopy ACS Appl. Mater. Interfaces 11 5509–16

    [44] Xia D Y, McVey S and Kuehn W 2019 Defect localization and electrical fault isolation for metal connection using helium ion microscope Proc. 2019 Int. Symp. on VLSI Technology, Systems and Application (IEEE, Hsinchu, China) pp 1–2

    [45] Hlawacek G, Jankowski M, Wormeester H, van Gastel R, Zandvliet H J W and Poelsema B 2016 Visualization of steps and surface reconstructions in Helium Ion Microscopy with atomic precision Ultramicroscopy 162 17–24

    [46] Jepson M A E, Inkson B J, Liu X, Scipioni L and Rodenburg C 2009 Quantitative dopant contrast in the helium ion microscope EPL 86 26005

    [47] Jepson M A E, Inkson B J, Rodenburg C and Bell D C 2009 Dopant contrast in the helium ion microscope EPL 85 46001

    [48] Hlawacek G, Veligura V, Lorbek S, Mocking T F, George A, van Gastel R, Zandvliet H J W and Poelsema B 2012 Imaging ultra thin layers with helium ion microscopy: utilizing the channeling contrast mechanism Beilstein J. Nanotechnol. 3 507–12

    [49] Hall A R 2013 In situ thickness assessment during ion milling of a free-standing membrane using transmission helium ion microscopy Microsc. Microanal. 19 740–4

    [50] Guo H X, Itoh H, Wang C M, Zhang H and Fujita D 2014 Focal depth measurement of scanning helium ion microscope Appl. Phys. Lett. 105 023105

    [51] Huang Z, W D L, Santori C, Acosta V M, Faraon A, Ishikawa T, Wu W, Winston D, Williams R S and Beausoleil R G 2013 Diamond nitrogen-vacancy centers created by scanning focused helium ion beam and annealing Appl. Phys. Lett. 103 081906

    [52] Livengood R, Tan S D, Greenzweig Y, Notte J and McVey S 2009 Subsurface damage from helium ions as a function of dose, beam energy, and dose rate J. Vac. Sci. Technol. B 27 3244–9

    [53] Wasfi A, Awwad F and Ayesh A I 2018 Graphene-based nanopore approaches for DNA sequencing: a literature review Biosens. Bioelectron. 119 191–203

    [54] Marshall M M, Yang J J and Hall A R 2012 Direct and transmission milling of suspended silicon nitride membranes with a focused helium ion beam Scanning 34 101–6

    [55] Carlsen A T, Briggs K, Hall A R and Tabard-Cossa V 2017 Solid-state nanopore localization by controlled breakdown of selectively thinned membranes Nanotechnology 28 085304

    [56] Sawafta F, Carlsen A T and Hall A R 2014 Membrane thickness dependence of nanopore formation with a focused helium ion beam Sensors 14 8150–61

    [57] Chen D X et al 2019 Sub-10 nm stable graphene quantum dots embedded in hexagonal boron nitride Nanoscale 11 4226–30

    [58] Knust S, Kreft D, Hillmann R, Meyer A, Viefhues M, Reimann P and Anselmetti D 2017 Measuring DNA translocation forces through MoS2-nanopores with optical tweezers Mater. Today Proc. 4 S168-S173

    [59] Hayashi T, Arima K, Yamashita N, Park S, Ma Z P, Tabata O and Kawai K 2018 Nanopore fabrication of two-dimensional materials on SiO2 membranes using he ion microscopy IEEE Trans. Nanotechnol. 17 727–30

    [60] Fox D, Zhou Y B, O’Neill A, Kumar S, Wang J J, Coleman J N, Duesberg G S, Donegan J F and Zhang H Z 2013 Helium ion microscopy of graphene: beam damage, image quality and edge contrast Nanotechnology 24 335702

    [61] Buchheim J, Wyss R M, Shorubalko I and Park H G 2016 Understanding the interaction between energetic ions and freestanding graphene towards practical 2D perforation Nanoscale 8 8345–54

    [62] Cantley L et al 2019 Voltage gated inter-cation selective ion channels from graphene nanopores Nanoscale 11 9856–61

    [63] Schmidt M E, Iwasaki T, Muruganathan M, Haque M, van Ngoc H, Ogawa S and Mizuta H 2018 Structurally controlled large-area 10 nm pitch graphene nanomesh by focused helium ion beam milling ACS Appl. Mater. Interfaces 10 10362–8

    [64] Zhang L, Heinig N F, Bazargan S, Abd-Ellah M, Moghimi N and Leung K T 2015 Direct-write three-dimensional nanofabrication of nanopyramids and nanocones on Si by nanotumefaction using a helium ion microscope Nanotechnology 26 255303

    [65] C′ordoba R, Ibarra A and Mailly D 2018 de Teresa J M. Vertical growth of superconducting crystalline hollow nanowires by He+ focused ion beam induced deposition Nano Lett. 18 1379–86

    [66] Lee C L, Chien S W, Chen S Y, Liu C H, Tsai K Y, Li J H, Shew B Y, Hong C S and Lee C T 2017 Fabrication of metrology test structures with helium ion beam direct write Proc. SPIE 10145, Metrology, Inspection, and Process Control for Microlithography XXXI (SPIE, San Jose, CA, USA) p 1014519

    [67] Lee C L, Cai J S, Chien S W and Tsai K Y 2019 Precision fabrication of EUVL programmed defects with helium ion beam lithography Proc. SPIE 11147, Int. Conf. on Extreme Ultraviolet Lithography 2019 (SPIE, Monterey, CA, USA) p 111471J

    [68] Scipioni L, Ferranti D C, Smentkowski V S and Potyrailo R A 2010 Fabrication and initial characterization of ultrahigh aspect ratio vias in gold using the helium ion microscope J. Vac. Sci. Technol. B 28 C6P18–23

    [69] Giri P K, Raineri V, Franzo G and Rimini E 2001 Mechanism of swelling in low-energy ion-irradiated silicon Phys. Rev. B 65 012110

    [70] Giri P K 2005 Studies on the surface swelling of ion-irradiated silicon: role of defects Mater. Sci. Eng. B 121 238–43

    [71] Leclerc S, Decl′emy A, Beaufort M F, Tromas C and Barbot J F 2005 Swelling of SiC under helium implantation J. Phys. D: Appl. Phys. 98 113506

    [72] Tseng A A 2005 Recent developments in nanofabrication using focused ion beams Small 1 924–39

    [73] Mitsui T, Stein D, Kim Y R, Hoogerheide D and Golovchenko J A 2006 Nanoscale volcanoes: accretion of matter at ion-sculpted nanopores Phys. Rev. Lett. 96 036102

    [74] Livengood R H, Greenzweig Y, Liang T and Grumski M 2007 Helium ion microscope invasiveness and imaging study for semiconductor applications J. Vac. Sci. Technol. B 25 2547–52

    [75] Sanford C A, Stern L, Barriss L, Farkas L, DiManna M, Mello R, Maas D J and Alkemade P F 2009 Beam induced deposition of platinum using a helium ion microscope J. Vac. Sci. Technol. B 27 2660–7

    [76] Alkemade P F A, Chen P, van Veldhoven E and Maas D 2010 Model for nanopillar growth by focused helium ion-beam-induced deposition J. Vac. Sci. Technol. B 28 C6F22-C6F25

    [77] Wu H M, Stern L A, Chen J H, Huth M, Schwalb C H, Winhold M, Porrati F, Gonzalez C M, Timilsina R and Rack P D 2013 Synthesis of nanowires via helium and neon focused ion beam induced deposition with the gas field ion microscope Nanotechnology 24 175302

    [78] Alkemade P F A, Miro H, van Veldhoven E, Maas D J, Smith D A and Rack P D 2011 Pulsed helium ion beam induced deposition: a means to high growth rates J. Vac. Sci. Technol. B 29 06FG05

    [79] Yang P S, Huang Z D, Huang K W and Chen M J 2020 Selective growth of platinum nanolines by helium ion beam induced deposition and atomic layer deposition Ultramicroscopy 211 112952

    [80] Timilsina R, Smith D A and Rack P D 2013 A comparison of neon versus helium ion beam induced deposition via Monte Carlo simulations Nanotechnology 24 115302

    [81] Smith D A, Joy D C and Rack P D 2010 Monte Carlo simulation of focused helium ion beam induced deposition Nanotechnology 21 175302

    [82] Chen P, van Veldhoven E, Sanford C A, Salemink H W M, Maas D J, Smith D A, Rack P D and Alkemade P F A 2010 Nanopillar growth by focused helium ion-beam-induced deposition Nanotechnology 21 455302

    [83] Kohama K, Iijima T, Hayashida M and Ogawa S 2013 Tungsten-based pillar deposition by helium ion microscope and beam-induced substrate damage J. Vac. Sci. Technol. B 31 031802

    [84] Wu H, Stern L A, Xia D, Ferranti D, Thompson B, Klein K L, Gonzalez C M and Rack P D 2014 Focused helium ion beam deposited low resistivity cobalt metal lines with 10 nm resolution: implications for advanced circuit editing J. Mater. Sci. Mater. Electron. 25 587–95

    [85] Boden S A, Moktadir Z, Bagnall D M, Mizuta H and Rutt H N 2011 Focused helium ion beam milling and deposition Microelectron. Eng. 88 2452–5

    [86] Basset J et al 2019 High kinetic inductance microwave resonators made by He-Beam assisted deposition of tungsten nanowires Appl. Phys. Lett. 114 102601

    [87] C′ordoba R, Mailly D, Rezaev R O, Smirnova E I, Schmidt O G, Fomin V M, Zeitler U, Guillamo′n I, Suderow H and de Teresa J M 2019 Three-dimensional superconducting nanohelices grown by He+-focused-ion-beam direct writing Nano Lett. 19 8597–604

    [88] Hor′ak M, Bukviov′a K, varc V, Jaskowiec J, Kˇr′apek V and ikola T 2018 Comparative study of plasmonic antennas fabricated by electron beam and focused ion beam lithography Sci. Rep. 8 9640

    [89] Winston D et al 2009 Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist J. Vac. Sci. Technol. B 27 2702–6

    [90] Ruchhoeft P and Wolfe J C 2000 Determination of resist exposure parameters in helium ion beam lithography: absorbed energy gradient, contrast, and critical dose J. Vac. Sci. Technol. B 18 3177–80

    [91] Kalhor N and Alkemade P F A 2016 Resist assisted patterning Helium Ion Microscopy, ed G Hlawacek and A Glzh auser (Berlin: Springer) pp 395–414

    [92] Li W D, Wu W and Williams R S 2012 Combined helium ion beam and nanoimprint lithography attains 4 nm half-pitch dense patterns J. Vac. Sci. Technol. B 30 06F304

    [93] Shi X Q, Prewett P, Huq E, Bagnall D M, Robinson A P G and Boden S A 2016 Helium ion beam lithography on fullerene molecular resists for sub-10 nm patterning Microelectron. Eng. 155 74–78

    [94] Cattoni A, Mailly D, Dalstein O, Faustini M, Seniutinas G, Rsner B and David C 2018 Sub-10 nm electron and helium ion beam lithography using a recently developed alumina resist Microelectron. Eng. 193 18–22

    [95] Lewis S M et al 2019 Plasma-etched pattern transfer of sub-10 nm structures using a metal–organic resist and helium ion beam lithography Nano Lett. 19 6043–8

    [96] Kumar R, Chauhan M, Moinuddin M G, Sharma S K and Gonsalves K E 2020 Development of nickel-based negative tone metal oxide cluster resists for sub-10 nm electron beam and helium ion beam lithography ACS Appl. Mater. Interfaces 12 19616–24

    [97] Flatab R, Agarwal A, Hobbs R, Greve M M, Holst B and Berggren K K 2018 Exploring proximity effects and large depth of field in helium ion beam lithography: large-area dense patterns and tilted surface exposure Nanotechnology 29 275301

    [98] Cai J X, Zhu Z Y, Alkemade P F A, van Veldhoven E, Wang Q J, Ge H X, Rodrigues S P, Cai W S and Li W D 2018 3D volumetric energy deposition of focused helium ion beam lithography: visualization, modeling, and applications in nanofabrication Adv. Mater. Interfaces 5 1800203

    [99] Naitou Y, Iijima T and Ogawa S 2015 Direct nano-patterning of graphene with helium ion beams Appl. Phys. Lett. 106 033103

    [100] Archanjo B S, Fragneaud B, Canado L C, Winston D, Miao F, Achete C A and Medeiros-Ribeiro G 2014 Graphene nanoribbon superlattices fabricated via He ion lithography Appl. Phys. Lett. 104 193114

    [101] Jiang X J, Cai W, Luo W W, Xiang Y X, Zhang N, Ren M X, Zhang X Z and Xu J J 2018 Near-field imaging of graphene triangles patterned by helium ion lithography Nanotechnology 29 385205

    [102] Bell D C, Lemme M C, Stern L A, Williams J R and Marcus C M 2009 Precision cutting and patterning of graphene with helium ions Nanotechnology 20 455301

    [103] Hang S, Moktadir Z, Kalhor N, Saito S and Mizuta H 2013 Direct helium ion milling technology: towards the fabrication of extremely down-scaled graphene nanodevices Proc. 2013 IEEE Silicon Nanoelectronics Workshop (IEEE, Kyoto, Japan)

    [104] Melli M, Polyakov A, Gargas D, Huynh C, Scipioni L, Bao W, Ogletree D F, Schuck P J, Cabrini S and Weber-Bargioni A 2013 Reaching the theoretical resonance quality factor limit in coaxial plasmonic nanoresonators fabricated by helium ion lithography Nano Lett. 13 2687–91

    [105] Kollmann H et al Ultrafast third-harmonic spectroscopy of single nanoantennas fabricated using helium-ion beam lithography 2016 Proc. SPIE 9759, Advanced Fabrication Technologies for Micro/Nano Optics and Photonics IX (SPIE, San Francisco, CA, USA)

    [106] Laible F, Dreser C, Kern D P and Fleischer M 2019 Time-effective strategies for the fabrication of poly-and single-crystalline gold nano-structures by focused helium ion beam milling Nanotechnology 30 235302

    [107] Seitl L, Laible F, Dickreuter S, Gollmer D A, Kern D P and Fleischer M 2019 Miniaturized fractal optical nanoantennas defined by focused helium ion beam milling Nanotechnology 31 075301

    [108] Hahn C, Hajebifard A and Berini P 2020 Helium focused ion beam direct milling of plasmonic heptamer-arranged nanohole arrays Nanophotonics 9 393–9

    [109] Wang Y D, Abb M, Boden S A, Aizpurua J, de Groot C H and Muskens O L 2013 Ultrafast nonlinear control of progressively loaded, single plasmonic nanoantennas fabricated using helium ion milling Nano Lett. 13 5647–53

    [110] Chen Y Q, Bi K X, Wang Q J, Zheng M J, Liu Q, Han Y X, Yang J B, Chang S L, Zhang G H and Duan H G 2016 Rapid focused ion beam milling based fabrication of plasmonic nanoparticles and assemblies via ‘sketch and peel’ strategy ACS Nano 10 11228–36

    [111] Chen Y Q, Hu Y Q, Zhao J Y, Deng Y S, Wang Z L, Cheng X, Lei D Y, Deng Y B and Duan H G 2020 Topology optimization-based inverse design of plasmonic nanodimer with maximum near-field enhancement Adv. Funct. Mater. 30 2000642

    Shixuan He, Rong Tian, Wei Wu, Wen-Di Li, Deqiang Wang. Helium-ion-beam nanofabrication: extreme processes and applications[J]. International Journal of Extreme Manufacturing, 2021, 3(1): 12001
    Download Citation