• Frontiers of Optoelectronics
  • Vol. 15, Issue 2, 12200 (2022)
Han Bao1, Houji Zhou1, Jiancong Li1, Huaizhi Pei1, Jing Tian1, Ling Yang1, Shengguang Ren1, Shaoqin Tong1, Yi Li1、2、*, Yuhui He1、2, Jia Chen3, Yimao Cai4, Huaqiang Wu5, Qi Liu6, Qing Wan7, and Xiangshui Miao1、2
Author Affiliations
  • 1School of Integrated Circuits, School of Optical and Electronic Information, Wuhan National Laboratory for Optoelectronics, Optics Valley Laboratory, Huazhong University of Science and Technology, Wuhan 430074, China
  • 2Hubei Yangtze Memory Laboratories, Wuhan 430205, China
  • 3AI Chip Center for Emerging Smart Systems, InnoHK Centers, Hong Kong Science Park, Hong Kong, China
  • 4School of Integrated Circuits, Peking University, Beijing 100871, China
  • 5School of Integrated Circuits, Beijing National Research Center for Information Science and Technology (BNRist), Tsinghua University, Beijing 100084, China
  • 6Frontier Institute of Chip and System, Fudan University, Shanghai 200433, China
  • 7School of Electronic Science and Engineering, and Collaborative Innovation Centre of Advanced Microstructures, Nanjing University, Nanjing 210093, China
  • show less
    DOI: 10.1007/s12200-022-00025-4 Cite this Article
    Han Bao, Houji Zhou, Jiancong Li, Huaizhi Pei, Jing Tian, Ling Yang, Shengguang Ren, Shaoqin Tong, Yi Li, Yuhui He, Jia Chen, Yimao Cai, Huaqiang Wu, Qi Liu, Qing Wan, Xiangshui Miao. Toward memristive in-memory computing: principles and applications[J]. Frontiers of Optoelectronics, 2022, 15(2): 12200 Copy Citation Text show less
    References

    [1] Jordan, M.I., Mitchell, T.M.: Machine learning: trends, perspectives, and prospects. Science 349(6245), 255–260 (2015)

    [2] Kuznetsova, A., Rom, H., Alldrin, N., Uijlings, J., Krasin, I., Pont-Tuset, J., Kamali, S., Popov, S., Malloci, M., Kolesnikov, A., Duerig, T., Ferrari, V.: The open images dataset v4. Int. J. Comput. Vis. 128(7), 1956–1981 (2020)

    [3] Deng, J., Dong, W., Socher, R., Li, L.J., Li, K., Fei-Fei, L.: Imagenet: a large-scale hierarchical image database. In: Proceedings of 2009 IEEE Conference on Computer Vision and Pattern Recognition. IEEE, 248–255 (2009)

    [4] Simonyan, K., Zisserman, A. Very deep convolutional networks for large-scale image recognition. arXiv preprint arXiv:14091556 (2014)

    [5] He, K., Zhang, X., Ren, S., Sun, J. Deep residual learning for image recognition. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition. IEEE, 770–778 (2016)

    [6] Keckler, S.W., Dally, W.J., Khailany, B., Garland, M., Glasco, D.: GPUs and the future of parallel computing. IEEE Micro 31(5), 7–17 (2011)

    [7] Owens, J.D., Houston, M., Luebke, D., Green, S., Stone, J.E., Phillips, J.C.: GPU computing. Proc. IEEE 96(5), 879–899 (2008)

    [8] Mutlu, O., Ghose, S., Gómez-Luna, J., Ausavarungnirun, R.: Processing data where it makes sense: enabling in-memory computation. Microprocess. Microsyst. 67, 28–41 (2019)

    [9] Chua, L.O.: How we predicted the memristor. Nat. Electron. 1(5), 322 (2018)

    [10] Williams, R.S.: How we found the missing memristor. In: Tetzlaff, R. (ed.) Memristors and Memristive Systems, pp. 3–16. Springer, New York (2014)

    [11] Chua, L.: Memristor—the missing circuit element. IEEE Trans Circuit Theory 18(5), 507–519 (1971)

    [12] Strukov, D.B., Snider, G.S., Stewart, D.R., Williams, R.S.: The missing memristor found. Nature 453(7191), 80–83 (2008)

    [13] Zidan, M.A., Strachan, J.P., Lu, W.D.: The future of electronics based on memristive systems. Nat. Electron. 1(1), 22–29 (2018)

    [14] Lee, J., Lu, W.D.: On-demand reconfiguration of nanomaterials: when electronics meets ionics. Adv. Mater. 30(1), 1702770 (2018)

    [15] Sun, W., Gao, B., Chi, M., Xia, Q., Yang, J.J., Qian, H., Wu, H.: Understanding memristive switching via in situ characterization and device modeling. Nat. Commun. 10(1), 3453 (2019)

    [16] Cheng, L., Li, Y., Yin, K.S., Hu, S.Y., Su, Y.T., Jin, M.M., Wang, Z.R., Chang, T.C., Miao, X.S.: Functional demonstration of a memristive arithmetic logic unit (MemALU) for in-memory computing. Adv. Func. Mater. 29(49), 1905660 (2019)

    [17] Yang, L., Cheng, L., Li, Y., Li, H., Li, J., Chang, T.C., Miao, X.: Cryptographic key generation and in situ encryption in onetransistor-one-resistor memristors for hardware security. Adv. Electron. Mater. 7(5), 2001182 (2021)

    [18] Karunaratne, G., Le Gallo, M., Cherubini, G., Benini, L., Rahimi, A., Sebastian, A.: In-memory hyperdimensional computing. Nat. Electron. 3(6), 327–337 (2020)

    [19] Junsangsri, P., Lombardi, F.: A memristor-based TCAM (ternary content addressable memory) cell: design and evaluation. In: Proceedings of the Great Lakes Symposium on VLSI. ACM, 311–314 (2012)

    [20] Graves, C.E., Li, C., Sheng, X., Miller, D., Ignowski, J., Kiyama, L., Strachan, J.P.: In-memory computing with memristor content addressable memories for pattern matching. Adv. Mater. 32(37), e2003437 (2020)

    [21] Hu, M., Graves, C.E., Li, C., Li, Y., Ge, N., Montgomery, E., Davila, N., Jiang, H., Williams, R.S., Yang, J.J., Xia, Q., Strachan, J.P.: Memristor-based analog computation and neural network classification with a dot product engine. Adv. Mater. 30(9), 1705914 (2018)

    [22] Yao, P., Wu, H., Gao, B., Eryilmaz, S.B., Huang, X., Zhang, W., Zhang, Q., Deng, N., Shi, L., Wong, H.P., Qian, H.: Face classification using electronic synapses. Nat. Commun. 8(1), 15199 (2017)

    [23] Amirsoleimani, A., Alibart, F., Yon, V., Xu, J., Pazhouhandeh, M.R., Ecoffey, S., Beilliard, Y., Genov, R., Drouin, D.: In-memory vector-matrix multiplication in monolithic complementary metal–oxide–semiconductor-memristor integrated circuits: design choices, challenges, and perspectives. Adv. Intell. Syst. 2(11), 2000115 (2020)

    [24] Xia, Q., Yang, J.J.: Memristive crossbar arrays for brain-inspired computing. Nat. Mater. 18(4), 309–323 (2019)

    [25] Yan, B., Li, B., Qiao, X., Xue, C.X., Chang, M.F., Chen, Y., Li, H.: Resistive memory-based in-memory computing: from device and large-scale integration system perspectives. Adv. Intell. Syst. 1(7), 1900068 (2019)

    [26] Zhang, T., Yang, K., Xu, X., Cai, Y., Yang, Y., Huang, R.: Memristive devices and networks for brain-inspired computing. Phys. Status Solidi (RRL) Rapid Res. Lett. 13(8), 1900029 (2019)

    [27] Shi, T., Wang, R., Wu, Z., Sun, Y., An, J., Liu, Q.: A review of resistive switching devices: performance improvement, characterization, and applications. Small Struct. 2(4), 2000109 (2021)

    [28] Hung, J.M., Jhang, C.J., Wu, P.C., Chiu, Y.C., Chang, M.F.: Challenges and trends of nonvolatile in-memory-computation circuits for AI edge devices. IEEE Trans. Electron Devices 67(4), 1444–1453 (2020)

    [29] Guo, X., Bayat, F.M., Bavandpour, M., Klachko, M., Mahmoodi, M., Prezioso, M., Likharev, K., Strukov D.: Fast, energy-efficient, robust, and reproducible mixed-signal neuromorphic classifier based on embedded NOR flash memory technology. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 6.5.1–6.5.4 (2017)

    [30] Ambrogio, S., Narayanan, P., Tsai, H., Shelby, R.M., Boybat, I., di Nolfo, C., Sidler, S., Giordano, M., Bodini, M., Farinha, N.C.P., Killeen, B., Cheng, C., Jaoudi, Y., Burr, G.W.: Equivalent-accuracy accelerated neural-network training using analogue memory. Nature 558(7708), 60–67 (2018)

    [31] Ni, K., Yin, X., Laguna, A.F., Joshi, S., Duenkel, S., Trentzsch, M., Müller, J., Beyer, S., Niemier, M., Hu, X.S.: Ferroelectric ternary content-addressable memory for one-shot learning. Nat. Electron. 2(11), 521–529 (2019)

    [32] Jung, S., Lee, H., Myung, S., Kim, H., Yoon, S.K., Kwon, S.W., Ju, Y., Kim, M., Yi, W., Han, S., Kwon, B., Seo, B., Lee, K., Koh, G.H., Lee, K., Song, Y., Choi, C., Ham, D., Kim, S.J.: A crossbar array of magnetoresistive memory devices for in-memory computing. Nature 601(7892), 211–216 (2022)

    [33] Chen, J., Li, J., Li, Y., Miao, X.: Multiply accumulate operations in memristor crossbar arrays for analog computing. J. Semicond. 42(1), 013104 (2021)

    [34] Sebastian, A., Le Gallo, M., Khaddam-Aljameh, R., Eleftheriou, E.: Memory devices and applications for in-memory computing. Nat. Nanotechnol. 15(7), 529–544 (2020)

    [35] Qin, Y.F., Bao, H., Wang, F., Chen, J., Li, Y., Miao, X.S.: Recent progress on memristive convolutional neural networks for edge intelligence. Adv. Intell. Syst. 2(11), 2000114 (2020)

    [36] Ibrahim, D.: An overview of soft computing. Procedia Comput. Sci. 102, 34–38 (2016)

    [37] Yin, S., Sun, X., Yu, S., Seo, J.: High-throughput in-memory computing for binary deep neural networks with monolithically integrated RRAM and 90-nm CMOS. IEEE Trans. Electron Devices 67(10), 4185–4192 (2020)

    [38] Yu, S., Li, Z., Chen, P.Y., Wu, H., Gao, B., Wang, D., Wu, W., Qian H.: Binary neural network with 16 Mb RRAM macro chip for classification and online training. In: Proceedings of 2016 IEEE International Electron Devices Meeting (IEDM). IEEE, 16.2.1–16.2.4 (2016)

    [39] Xue, C.X., Chiu, Y.C., Liu, T.W., Huang, T.Y., Liu, J.S., Chang, T.W., Kao, H.Y., Wang, J.H., Wei, S.Y., Lee, C.Y., Huang, S.P., Hung, J.M., Teng, S.H., Wei, W.C., Chen, Y.R., Hsu, T.H., Chen, Y.K., Lo, Y.C., Wen, T.H., Lo, C.C., Liu, R.S., Hsieh, C.C., Tang, K.T., Ho, M.S., Su, C.Y., Chou, C.C., Chih, Y.D., Chang, M.F.: A CMOS-integrated compute-inmemory macro based on resistive random-access memory for AI edge devices. Nat. Electron. 4(1), 81–90 (2021)

    [40] Kim, H., Mahmoodi, M.R., Nili, H., Strukov, D.B.: 4K-memristor analog-grade passive crossbar circuit. Nat. Commun. 12(1), 5198 (2021)

    [41] Yao, P., Wu, H., Gao, B., Tang, J., Zhang, Q., Zhang, W., Yang, J.J., Qian, H.: Fully hardware-implemented memristor convolutional neural network. Nature 577(7792), 641–646 (2020)

    [42] Wang, Z., Li, C., Lin, P., Rao, M., Nie, Y., Song, W., Qiu, Q., Li, Y., Yan, P., Strachan, J.P., Ge, N., McDonald, N., Wu, Q., Hu, M., Wu, H., Williams, R.S., Xia, Q., Yang, J.J.: In situ training of feed-forward and recurrent convolutional memristor networks. Nat. Mach. Intell. 1(9), 434–442 (2019)

    [43] Wang, Z., Li, C., Song, W., Rao, M., Belkin, D., Li, Y., Yan, P., Jiang, H., Lin, P., Hu, M., Strachan, J.P., Ge, N., Barnell, M., Wu, Q., Barto, A.G., Qiu, Q., Williams, R.S., Xia, Q., Yang, J.J.: Reinforcement learning with analogue memristor arrays. Nat. Electron. 2(3), 115–124 (2019)

    [44] Li, C., Wang, Z., Rao, M., Belkin, D., Song, W., Jiang, H., Yan, P., Li, Y., Lin, P., Hu, M., Ge, N., Strachan, J.P., Barnell, M., Wu, Q., Williams, R.S., Yang, J.J., Xia, Q.: Long short-term memory networks in memristor crossbar arrays. Nat. Mach. Intell. 1(1), 49–57 (2019)

    [45] Li, C., Belkin, D., Li, Y., Yan, P., Hu, M., Ge, N., Jiang, H., Montgomery, E., Lin, P., Wang, Z., Song, W., Strachan, J.P., Barnell, M., Wu, Q., Williams, R.S., Yang, J.J., Xia, Q.: Efficient and self-adaptive in-situ learning in multilayer memristor neural networks. Nat. Commun. 9(1), 2385 (2018)

    [46] Cai, F., Correll, J.M., Lee, S.H., Lim, Y., Bothra, V., Zhang, Z., Flynn, M.P., Lu, W.D.: A fully integrated reprogrammable memristor–CMOS system for efficient multiply–accumulate operations. Nat. Electron. 2(7), 290–299 (2019)

    [47] Sheridan, P.M., Cai, F., Du, C., Ma, W., Zhang, Z., Lu, W.D.: Sparse coding with memristor networks. Nat. Nanotechnol. 12(8), 784–789 (2017)

    [48] Zidan, M.A., Jeong, Y., Lee, J., Chen, B., Huang, S., Kushner, M.J., Lu, W.D.: A general memristor-based partial differential equation solver. Nat. Electron. 1(7), 411–420 (2018)

    [49] Li, C., Hu, M., Li, Y., Jiang, H., Ge, N., Montgomery, E., Zhang, J., Song, W., Dávila, N., Graves, C.E., Li, Z., Strachan, J.P., Lin, P., Wang, Z., Barnell, M., Wu, Q., Williams, R.S., Yang, J.J., Xia, Q.: Analogue signal and image processing with large memristor crossbars. Nat. Electron. 1(1), 52–59 (2018)

    [50] LeCun, Y., Bengio, Y., Hinton, G.: Deep learning. Nature 521(7553), 436–444 (2015)

    [51] Sainath, T.N., Kingsbury, B., Saon, G., Soltau, H., Mohamed, A.R., Dahl, G., Ramabhadran, B.: Deep convolutional neural networks for large-scale speech tasks. Neural Netw. 64, 39–48 (2015)

    [52] Krizhevsky, A., Sutskever, I., Hinton, G.E.: Imagenet classification with deep convolutional neural networks. Adv. Neural. Inf. Process. Syst. 25, 1097–1105 (2012)

    [53] Girshick, R., Donahue, J., Darrell, T., Malik, J.: Rich feature hierarchies for accurate object detection and semantic segmentation. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition. IEEE, 580–587 (2014)

    [54] Sze, V., Chen, Y.H., Yang, T.J., Emer, J.S.: Efficient processing of deep neural networks: a tutorial and survey. Proc. IEEE 105(12), 2295–2329 (2017)

    [55] Bayat, F.M., Prezioso, M., Chakrabarti, B., Nili, H., Kataeva, I., Strukov, D.: Implementation of multilayer perceptron network with highly uniform passive memristive crossbar circuits. Nat. Commun. 9(1), 2331 (2018)

    [56] Lin, P., Li, C., Wang, Z., Li, Y., Jiang, H., Song, W., Rao, M., Zhuo, Y., Upadhyay, N.K., Barnell, M., Wu, Q., Yang, J.J., Xia, Q.: Three-dimensional memristor circuits as complex neural networks. Nat. Electron. 3(4), 225–232 (2020)

    [57] Li, T., Yin, Y., Ma, K., Zhang, S., Liu, M.: Lightweight endto-end neural network model for automatic heart sound classification. Information (Basel) 12(2), 54 (2021)

    [58] Karunaratne, G., Schmuck, M., Le Gallo, M., Cherubini, G., Benini, L., Sebastian, A., Rahimi, A.: Robust high-dimensional memory-augmented neural networks. Nat. Commun. 12(1), 2468 (2021)

    [59] Li, H., Chen, W.C., Levy, A., Wang, C.H., Wang, H., Chen, P.H., Wan, W., Wong, H.S.P., Raina, P.: One-shot learning with memory-augmented neural networks using a 64-kbit, 118 GOPS/W RRAM-based non-volatile associative memory. In: Proceedings of 2021 Symposium on VLSI Technology. IEEE, 1–2 (2021)

    [60] Wu, S., Li, G., Chen, F., Shi, L.: Training and inference with integers in deep neural networks. arXiv preprint arXiv:180204680 (2018)

    [61] Zhang, Q., Wu, H., Yao, P., Zhang, W., Gao, B., Deng, N., Qian, H.: Sign backpropagation: an on-chip learning algorithm for analog RRAM neuromorphic computing systems. Neural Netw. 108, 217–223 (2018)

    [62] Gokmen, T., Onen, M., Haensch, W.: Training deep convolutional neural networks with resistive cross-point devices. Front. Neurosci. 11, 538 (2017)

    [63] Lim, S., Bae, J.H., Eum, J.H., Lee, S., Kim, C.H., Kwon, D., Park, B.G., Lee, J.H.: Adaptive learning rule for hardware-based deep neural networks using electronic synapse devices. Neural Comput. Appl. 31(11), 8101–8116 (2019)

    [64] Geng, Y., Gao, B., Zhang, Q., Zhang, W., Yao, P., Xi, Y., Lin, Y., Chen, J., Tang, J., Wu, H.: An on-chip layer-wise training method for RRAM based computing-in-memory chips. In: Proceedings of 2021 Design, Automation and Test in Europe Conference and Exhibition (DATE). IEEE, 248–251 (2021)

    [65] Jiang, H., Huang, S., Peng, X., Yu, S.: MINT: Mixed-precision RRAM-based IN-memory training architecture. In: Proceedings of 2020 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 1–5 (2020)

    [66] Negrov, D., Karandashev, I., Shakirov, V., Matveyev, Y., Dunin-Barkowski, W., Zenkevich, A.: An approximate backpropagation learning rule for memristor based neural networks using synaptic plasticity. Neurocomputing 237, 193–199 (2017)

    [67] Lillicrap, T.P., Cownden, D., Tweed, D.B., Akerman, C.J.: Random synaptic feedback weights support error backpropagation for deep learning. Nat. Commun. 7(1), 13276 (2016)

    [68] Lu, Y., Li, X., Yan, L., Zhang, T., Yang, Y., Song, Z., Huang R.: Accelerated local training of CNNs by optimized direct feedback alignment based on stochasticity of 4 Mb C-doped Ge2Sb2Te5 PCM chip in 40 nm node. In: Proceedings of 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 36.33.31–36.33.34 (2020)

    [69] Luo, Y., Han, X., Ye, Z., Barnaby, H., Seo, J.S., Yu, S.: Arraylevel programming of 3-bit per cell resistive memory and its application for deep neural network inference. IEEE Trans. Electron Devices 67(11), 4621–4625 (2020)

    [70] Chen, J., Pan, W.Q., Li, Y., Kuang, R., He, Y.H., Lin, C.Y., Duan, N., Feng, G.R., Zheng, H.X., Chang, T.C., Sze, S.M., Miao, X.S.: High-precision symmetric weight update of memristor by gate voltage ramping method for convolutional neural network accelerator. IEEE Electron Device Lett. 41(3), 353–356 (2020)

    [71] Cai, Y., Tang, T., Xia, L., Li, B., Wang, Y., Yang, H.: Low bitwidth convolutional neural network on RRAM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(7), 1414–1427 (2020)

    [72] Hubara, I., Courbariaux, M., Soudry, D., El-Yaniv, R., Bengio, Y.: Quantized neural networks: training neural networks with low precision weights and activations. J. Mach. Learn. Res. 18(1), 6869–6898 (2017)

    [73] Qin, Y.F., Kuang, R., Huang, X.D., Li, Y., Chen, J., Miao, X.S.: Design of high robustness BNN inference accelerator based on binary memristors. IEEE Trans. Electron Devices 67(8), 3435–3441 (2020)

    [74] Pan, W.Q., Chen, J., Kuang, R., Li, Y., He, Y.H., Feng, G.R., Duan, N., Chang, T.C., Miao, X.S.: Strategies to improve the accuracy of memristor-based convolutional neural networks. IEEE Trans. Electron Devices 67(3), 895–901 (2020)

    [75] Xi, Y., Gao, B., Tang, J., Chen, A., Chang, M.F., Hu, X.S., Spiegel, J.V.D., Qian, H., Wu, H.: In-memory learning with analog resistive switching memory: a review and perspective. Proc. IEEE 109(1), 14–42 (2021)

    [76] Kim, S.G., Han, J.S., Kim, H., Kim, S.Y., Jang, H.W.: Recent advances in memristive materials for artificial synapses. Adv. Mater. Technol. 3(12), 1800457 (2018)

    [77] Chen, J., Lin, C.Y., Li, Y., Qin, C., Lu, K., Wang, J.M., Chen, C.K., He, Y.H., Chang, T.C., Sze, S.M., Miao, X.S.: LiSiO X-based analog memristive synapse for neuromorphic computing. IEEE Electron Device Lett. 40(4), 542–545 (2019)

    [78] Yu, S:. Orientation classification by a winner-take-all network with oxide RRAM based synaptic devices. In: Proceedings of 2014 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 1058–1061 (2014)

    [79] Jiang, Y., Kang, J., Wang, X.: RRAM-based parallel computing architecture using k-nearest neighbor classification for pattern recognition. Sci. Rep. 7(1), 45233 (2017)

    [80] Jeong, Y., Lee, J., Moon, J., Shin, J.H., Lu, W.D.: K-means data clustering with memristor networks. Nano Lett. 18(7), 4447–4453 (2018)

    [81] Zhou, H., Chen, J., Wang, Y., Liu, S., Li, Y., Li, Q., Liu, Q., Wang, Z., He, Y., Xu, H.: Energy-efficient memristive Euclidean distance engine for brain-inspired competitive learning. Adv. Intell. Syst. 3, 2100114 (2021)

    [82] Choi, S., Shin, J.H., Lee, J., Sheridan, P., Lu, W.D.: Experimental demonstration of feature extraction and dimensionality reduction using memristor networks. Nano Lett. 17(5), 3113–3118 (2017)

    [83] Zhou, H., Li, Y., Miao, X.: Low-time-complexity document clustering using memristive dot product engine. Science China. Inf. Sci. 65(2), 122410 (2022)

    [84] Milo, V., Anzalone, F., Zambelli, C., Pérez, E., Mahadevaiah, M.K., Ossorio, ó.G., Olivo, P., Wenger, C., Ielmini, D.: Optimized programming algorithms for multilevel RRAM in hardware neural networks. In: Proceedings of 2021 IEEE International Reliability Physics Symposium (IRPS). IEEE, 1–6 (2021)

    [85] Wang, Z., Joshi, S., Savel’ev, S.E., Jiang, H., Midya, R., Lin, P., Hu, M., Ge, N., Strachan, J.P., Li, Z., Wu, Q., Barnell, M., Li, G.L., Xin, H.L., Williams, R.S., Xia, Q., Yang, J.J.: Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing. Nat. Mater. 16(1), 101–108 (2017)

    [86] Chen, P.Y., Peng, X., Yu, S.: NeuroSim+: an integrated deviceto-algorithm framework for benchmarking synaptic devices and array architectures. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 6.1.1–6.1.4 (2017)

    [87] Hopfield, J.J.: Neural networks and physical systems with emergent collective computational abilities. Proc. Natl. Acad. Sci. U.S.A. 79(8), 2554–2558 (1982)

    [88] Cai, F., Kumar, S., Van Vaerenbergh, T., Sheng, X., Liu, R., Li, C., Liu, Z., Foltin, M., Yu, S., Xia, Q., Yang, J.J., Beausoleil, R., Lu, W.D., Strachan, J.P.: Power-efficient combinatorial optimization using intrinsic noise in memristor Hopfield neural networks. Nat. Electron. 3(7), 409–418 (2020)

    [89] Yang, K., Duan, Q., Wang, Y., Zhang, T., Yang, Y., Huang, R.: Transiently chaotic simulated annealing based on intrinsic nonlinearity of memristors for efficient solution of optimization problems. Sci Adv 6(33), eaba9901 (2020)

    [90] Mahmoodi, M.R., Prezioso, M., Strukov, D.B.: Versatile stochastic dot product circuits based on nonvolatile memories for high performance neurocomputing and neurooptimization. Nat. Commun. 10(1), 5113 (2019)

    [91] Dalgaty, T., Castellani, N., Turck, C., Harabi, K.E., Querlioz, D., Vianello, E.: In situ learning using intrinsic memristor variability via Markov chain Monte Carlo sampling. Nat. Electron. 4(2), 151–161 (2021)

    [92] Chen, L., Aihara, K.: Chaotic simulated annealing by a neural network model with transient chaos. Neural Netw. 8(6), 915–930 (1995)

    [93] Lu, J., Wu, Z., Zhang, X., Wei, J., Fang, Y., Shi, T., Liu, Q., Wu, F., Liu, M.: Quantitatively evaluating the effect of read noise in memristive Hopfield network on solving traveling salesman problem. IEEE Electron Device Lett. 41(11), 1688–1691 (2020)

    [94] Fahimi, Z., Mahmoodi, M.R., Nili, H., Polishchuk, V., Strukov, D.B.: Combinatorial optimization by weight annealing in memristive hopfield networks. Sci. Rep. 11(1), 16383 (2021)

    [95] Ovaska, S.J., VanLandingham, H.F., Kamiya, A.: Fusion of soft computing and hard computing in industrial applications: an overview. IEEE Trans. Syst. Man Cybern. Part C Appl. Rev. 32(2), 72–79 (2002)

    [96] Baboulin, M., Buttari, A., Dongarra, J., Kurzak, J., Langou, J., Langou, J., Luszczek, P., Tomov, S.: Accelerating scientific computations with mixed precision algorithms. Comput. Phys. Commun. 180(12), 2526–2533 (2009)

    [97] Sun, Z., Huang, R.: Time complexity of in memory matrix vector multiplication. IEEE Trans. Circuits Syst. II Express Briefs 68(8), 2785–2789 (2021)

    [98] Feinberg, B., Vengalam, U.K.R., Whitehair, N., Wang, S., Ipek, E.: Enabling scientific computing on memristive accelerators. In: Proceedings of 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 367–382 (2018)

    [99] Le Gallo, M., Sebastian, A., Mathis, R., Manica, M., Giefers, H., Tuma, T., Bekas, C., Curioni, A., Eleftheriou, E.: Mixedprecision in-memory computing. Nat. Electron. 1(4), 246–253 (2018)

    [100] Sun, Z., Pedretti, G., Ambrosi, E., Bricalli, A., Wang, W., Ielmini, D.: Solving matrix equations in one step with crosspoint resistive arrays. Proc. Natl. Acad. Sci. U.S.A. 116(10), 4123–4128 (2019)

    [101] Song, T., Chen, X., Han, Y.: Eliminating iterations of iterative methods: solving large-scale sparse linear system in O(1) with RRAM-based in-memory accelerator. In: Proceedings of the 2021 on Great Lakes Symposium on VLSI. ACM, 71–76 (2021)

    [102] Feng, Y., Zhan, X., Chen, J.: Flash memory based computing-in-memory to solve time-dependent partial differential equations. In: Proceedings of 2020 IEEE Silicon Nanoelectronics Workshop (SNW). IEEE, 27–28 (2020)

    [103] Kalantzis, V., Gupta, A., Horesh, L., Nowicki, T., Squillante, M. S., Wu, C. W., Gokmen, T., Avron, H.: Solving sparse linear systems with approximate inverse preconditioners on analog devices. arXiv preprint arXiv:210706973 (2021)

    [104] Sun, Z., Pedretti, G., Mannocci, P., Ambrosi, E., Bricalli, A., Ielmini, D.: Time complexity of in-memory solution of linear systems. IEEE Trans. Electron Devices 67(7), 2945–2951 (2020)

    [105] Sun, Z., Pedretti, G., Ambrosi, E., Bricalli, A., Ielmini, D.: In-memory eigenvector computation in time O(1). Adv. Intell. Syst. 2(8), 2000042 (2020)

    [106] Sun, Z., Ambrosi, E., Pedretti, G., Bricalli, A., Ielmini, D.: Inmemory PageRank accelerator with a cross-point array of resistive memories. IEEE Trans. Electron Devices 67(4), 1466–1470 (2020)

    [107] Sun, Z., Pedretti, G., Bricalli, A., Ielmini, D.: One-step regression and classification with cross-point resistive memory arrays. Sci. Adv. 6(5), eaay2378 (2020)

    [108] Buluc, A., Gilbert, J. R.: Challenges and advances in parallel sparse matrix-matrix multiplication. In: Proceedings of 2008 37th International Conference on Parallel Processing. IEEE, 503–510 (2008)

    [109] Borstnik, U., VandeVondele, J., Weber, V., Hutter, J.: Sparse matrix multiplication: the distributed block-compressed sparse row library. Parallel Comput. 40(5–6), 47–58 (2014)

    [110] Pitas, I.: Digital Image Processing Algorithms and Applications. Wiley, New York (2000)

    [111] Baraniuk, R.G.: Compressive sensing. IEEE Signal Process. Mag. 24(4), 118–121 (2007)

    [112] Le Gallo, M., Sebastian, A., Cherubini, G., Giefers, H., Eleftheriou, E.: Compressed sensing recovery using computational memory. In: Proceedings of 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 28.23.21–28.23.24 (2017)

    [113] Canny, J.: A computational approach to edge detection. IEEE Trans. Pattern Anal. Mach. Intell. 8(6), 679–698 (1986)

    [114] Huo, Q., Song, R., Lei, D., Luo, Q., Wu, Z., Wu, Z., Zhao, X., Zhang, F., Li, L., Liu, M.: Demonstration of 3D convolution kernel function based on 8-layer 3D vertical resistive random access memory. IEEE Electron Device Lett. 41(3), 497–500 (2020)

    [115] Halawani, Y., Mohammad, B., Al-Qutayri, M., Al-Sarawi, S.F.: Memristor-based hardware accelerator for image compression. IEEE Trans. VLSI Syst. 26(12), 2749–2758 (2018)

    [116] Zhang, B., Uysal, N., Ewetz, R.: Computational restructuring: rethinking image processing using memristor crossbar arrays. In: Proceedings of 2020 Design, Automation and Test in Europe Conference and Exhibition (DATE). IEEE, 1594–1597 (2020)

    [117] Zhang, W., Gao, B., Yao, P., Tang, J., Qian, H., Wu, H.: Array-level boosting method with spatial extended allocation to improve the accuracy of memristor based computing-inmemory chips. Science China. Inf. Sci. 64(6), 1–9 (2021)

    [118] Oppenheim, A.V., Schafer, R.W., Buck, J.R.: Discrete-Time Signal Processing. Pearson Education India, New Jersey (1999)

    [119] Liu, S., Ren, A., Wang, Y., Varshney, P. K.: Ultra-fast robust compressive sensing based on memristor crossbars. In: Proceedings of 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). IEEE, 1133–1137 (2017)

    [120] Qian, F., Gong, Y., Huang, G., Ahi, K., Anwar, M., Wang, L.: A memristor-based compressive sensing architecture. In: Proceedings of 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). IEEE, 109–114 (2016)

    [121] Zhao, H., Liu, Z., Tang, J., Gao, B., Zhang, Y., Qian, H., Wu, H.: Memristor-based signal processing for edge computing. Tsinghua Sci. Technol. 27(3), 455–471 (2022)

    [122] Zhu, R., Tang, Z., Ye, S., Huang, Q., Guo, L., Chang, S.: Memristor-based image enhancement: high efficiency and robustness. IEEE Trans. Electron Devices 68(2), 602–609 (2021)

    [123] Ran, H., Wen, S., Wang, S., Cao, Y., Zhou, P., Huang, T.: Memristor-based edge computing of ShuffleNetV2 for image classification. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(8), 1701–1710 (2021)

    [124] Hong, Q., Li, Y., Wang, X.: Memristive continuous Hopfield neural network circuit for image restoration. Neural Comput. Appl. 32(12), 8175–8185 (2020)

    [125] Mennel, L., Symonowicz, J., Wachter, S., Polyushkin, D.K., Molina-Mendoza, A.J., Mueller, T.: Ultrafast machine vision with 2D material neural network image sensors. Nature 579(7797), 62–66 (2020)

    [126] Zhou, F., Zhou, Z., Chen, J., Choy, T.H., Wang, J., Zhang, N., Lin, Z., Yu, S., Kang, J., Wong, H.P., Chai, Y.: Optoelectronic resistive random access memory for neuromorphic vision sensors. Nat. Nanotechnol. 14(8), 776–782 (2019)

    [127] Sun, L., Zhang, Y., Hwang, G., Jiang, J., Kim, D., Eshete, Y.A., Zhao, R., Yang, H.: Synaptic computation enabled by joule heating of single-layered semiconductors for sound localization. Nano Lett. 18(5), 3229–3234 (2018)

    [128] Iwata, T., Ono, K., Yoshikawa, T., Sawada, K.: Gas discrimination based on single-device extraction of transient sensor response by a MetalOxide memristor toward olfactory sensor array. In: Proceedings of 2019 IEEE Sensors. IEEE, 1–4 (2019)

    [129] Zhou, F., Chai, Y.: Near-sensor and in-sensor computing. Nat. Electron. 3(11), 664–671 (2020)

    [130] Chai, Y.: In-sensor computing for machine vision. Nature 579, 32–33 (2020)

    [131] Tong, L., Peng, Z., Lin, R., Li, Z., Wang, Y., Huang, X., Xue, K.H., Xu, H., Liu, F., Xia, H., Wang, P., Xu, M., Xiong, W., Hu, W., Xu, J., Zhang, X., Ye, L., Miao, X.: 2D materials-based homogeneous transistor-memory architecture for neuromorphic hardware. Science 373(6561), 1353–1358 (2021)

    [132] Wang, C., Liang, S.J., Wang, C.Y., Yang, Z.Z., Ge, Y., Pan, C., Shen, X., Wei, W., Zhao, Y., Zhang, Z., Cheng, B., Zhang, C., Miao, F.: Scalable massively parallel computing using continuous-time data representation in nanoscale crossbar array. Nat. Nanotechnol. 16(10), 1079–1085 (2021)

    [133] Ankit, A., Hajj, I.E., Chalamalasetti, S.R., Ndu, G., Foltin, M., Williams, R. S., Faraboschi, P., Hwu, W. W., Strachan, J.P., Roy, K.: PUMA: a programmable ultra-efficient memristor-based accelerator for machine learning inference. In: Proceedings of 24th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, 715–731 (2019)

    [134] Christensen, D.V., Dittmann, R., Linares-Barranco, B., Sebastian, A., Gallo, M. L., Redaelli, A., Slesazeck, S., Mikolajick, T., Spiga, S., Menzel, S.: 2021 roadmap on neuromorphic computing and engineering. arXiv preprint arXiv:210505956 (2021)

    [135] Upadhyay, N.K., Jiang, H., Wang, Z., Asapu, S., Xia, Q., Joshua, Y.J.: Emerging memory devices for neuromorphic computing. Adv. Mater. Technol. 4(4), 1800589 (2019)

    [136] Sung, C., Hwang, H., Yoo, I.K.: Perspective: a review on memristive hardware for neuromorphic computation. J. Appl. Phys. 124(15), 151903 (2018)

    [137] Zhang, W., Gao, B., Tang, J., Yao, P., Yu, S., Chang, M.F., Yoo, H.J., Qian, H., Wu, H.: Neuro-inspired computing chips. Nat. Electron. 3(7), 371–382 (2020)

    [138] Zhou, Y., Xu, N., Gao, B., Zhuge, F., Tang, Z., Deng, X., Li, Y., He, Y., Miao, X.: Complementary memtransistor-based multilayer neural networks for online supervised learning through (anti-) spike-timing-dependent plasticity. IEEE Trans. Neural Netw. Learn. Syst. (2021)

    [139] Pedretti, G., Milo, V., Ambrogio, S., Carboni, R., Bianchi, S., Calderoni, A., Ramaswamy, N., Spinelli, A.S., Ielmini, D.: Memristive neural network for on-line learning and tracking with brain-inspired spike timing dependent plasticity. Sci. Rep. 7(1), 5288 (2017)

    [140] Lu, Y.F., Li, Y., Li, H., Wan, T.Q., Huang, X., He, Y.H., Miao, X.: Low-power artificial neurons based on Ag/TiN/HfAlOx/Pt threshold switching memristor for neuromorphic computing. IEEE Electron Device Lett. 41(8), 1245–1248 (2020)

    [141] Wan, T.Q., Lu, Y.F., Yuan, J.H., Li, H.Y., Li, Y., Huang, X.D., Xue, K.H., Miao, X.S.: 12.7 mA/cm2 on-current density and high uniformity realized in AgGeSe/Al2O3 selectors. IEEE Electron Device Lett. 42(4), 613–616 (2021)

    [142] Li, X., Tang, J., Zhang, Q., Gao, B., Yang, J.J., Song, S., Wu, W., Zhang, W., Yao, P., Deng, N., Deng, L., Xie, Y., Qian, H., Wu, H.: Power-efficient neural network with artificial dendrites. Nat. Nanotechnol. 15(9), 776–782 (2020)

    [143] He, Y., Jiang, S., Chen, C., Wan, C., Shi, Y., Wan, Q.: Electrolyte-gated neuromorphic transistors for brain-like dynamic computing. J. Appl. Phys. 130(19), 190904 (2021)

    [144] Roy, K., Jaiswal, A., Panda, P.: Towards spike-based machine intelligence with neuromorphic computing. Nature 575(7784), 607–617 (2019)

    [145] Chakraborty, I., Jaiswal, A., Saha, A., Gupta, S., Roy, K.: Pathways to efficient neuromorphic computing with non-volatile memory technologies. Appl. Phys. Rev. 7(2), 021308 (2020)

    Han Bao, Houji Zhou, Jiancong Li, Huaizhi Pei, Jing Tian, Ling Yang, Shengguang Ren, Shaoqin Tong, Yi Li, Yuhui He, Jia Chen, Yimao Cai, Huaqiang Wu, Qi Liu, Qing Wan, Xiangshui Miao. Toward memristive in-memory computing: principles and applications[J]. Frontiers of Optoelectronics, 2022, 15(2): 12200
    Download Citation