• International Journal of Extreme Manufacturing
  • Vol. 4, Issue 3, 32001 (2022)
Jinlong Zhu1、*, Jiamin Liu1, Tianlai Xu2, Shuai Yuan2, Zexu Zhang2, Hao Jiang1, Honggang Gu1, Renjie Zhou3, and Shiyuan Liu1
Author Affiliations
  • 1State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074, People’s Republic of China
  • 2School of Astronautics, Harbin Institute of Technology, Harbin 150001, People’s Republic of China
  • 3Department of Biomedical Engineering, The Chinese University of Hong Kong, Shatin, New Territories, Hong Kong SAR, People’s Republic of China
  • show less
    DOI: 10.1088/2631-7990/ac64d7 Cite this Article
    Jinlong Zhu, Jiamin Liu, Tianlai Xu, Shuai Yuan, Zexu Zhang, Hao Jiang, Honggang Gu, Renjie Zhou, Shiyuan Liu. Optical wafer defect inspection at the 10 nm technology node and beyond[J]. International Journal of Extreme Manufacturing, 2022, 4(3): 32001 Copy Citation Text show less
    References

    [1] IEEE 2020 International Roadmap for Devices and systemsTM (Piscataway, NJ: IEEE Press)

    [2] Kundaliya D 2020 TSMC to begin 3 nm mass production in 2021, report (available at: www.computing.co.uk/news/40 17969/tsmc-begin-3nm-mass-production-2021-report)

    [3] Orji N G, Badaroglu M, Barnes B M, Beitia C, Bunday B D, Celano U, Kline R J, Neisser M, Obeng Y and Vladar A E 2018 Metrology for the next generation of semiconductor devices Nat. Electron. 1 532-47

    [4] Vikram A, Lin K, Camp J, Kini S, Jin F and Venkatesan V 2013 Inspection of high-aspect ratio layers at sub 20 nm node Proc. SPIE 8681 86811Q

    [5] Ma Z Y and Seiler D G 2017 Metrology and Diagnostic Techniques for Nanoelectronics (Singapore: Pan Stanford Publishing Pte. Ltd) pp 589-625

    [6] Broadbent W, Yokoyama I, Yu P, Seki K, Nomura R, Schmalfuss H, Heumann J and Sier J P 2007 Field results from a new die-to-database reticle inspection platform Proc. SPIE 6607 660714

    [7] Liu C, Xu S, Liu Y F and Xiao Z N 2021 Aperture design for a dark-field wafer defect inspection system Appl. Opt. 60 10830-7

    [8] Purandare S, Zhu J L, Zhou R J, Popescu G, Schwing A and Goddard L L 2019 Optical inspection of nanoscale structures using a novel machine learning based synthetic image generation algorithm Opt. Express 27 17743-62

    [9] Pan B Y, Yang Y L, Bian J, Hu X P and Zhang W H 2019 Quantum dot decorated nano-pyramid fiber tip for scanning near-field optical microscopy Opt. Commun. 445 273-6

    [10] Bek A, Vogelgesang R and Kern K 2006 Apertureless scanning near field optical microscope with sub-10 nm resolution Rev. Sci. Instrum. 77 043703

    [11] Liu Y, Liu J, Dai H B, Wang R R, Hsian A, Wang W, Betts R J, Marionnet C, Bernerd F and Qiu J 2022 Photo-aging evaluation—in vitro biological endpoints combined with collagen density assessment with multi-photon microscopy J. Dermatol. Sci. 105 37-44

    [12] Faria A R, Silvestre O F, Maibohm C, Adao R M R, Silva B F B and Nieder J B 2019 Cubosome nanoparticles for enhanced delivery of mitochondria anticancer drug elesclomol and therapeutic monitoring via sub-cellular NAD(P)H multi-photon fluorescence lifetime imaging Nano Res. 12 991-8

    [13] Li Y, Yang J H, Pan Z J and Tong W S 2020 Nanoscale pore structure and mechanical property analysis of coal: an insight combining AFM and SEM images Fuel 260 116352

    [14] Jiao F, Cannon K S, Lin Y C, Gladfelter A S and Scheuring S 2020 The hierarchical assembly of septins revealed by high-speed AFM Nat. Commun. 11 5062

    [15] Madsen J, Liu P, Kling J, Wagner J B, Hansen T W, Winther O and Schiotz J 2018 A deep learning approach to identify local structures in atomic-resolution transmission electron microscopy images Adv. Theory Simul. 1 1800037

    [16] Zhang D L, Zhu Y H, Liu L M, Ying X R, Hsiung C E, Sougrat R, Li K and Han Y 2018 Atomic-resolution transmission electron microscopy of electron beam-sensitive crystalline materials Science 359 675-9

    [17] Iida S, Nagai T and Uchiyama T 2019 Standard wafer with programed defects to evaluate the pattern inspection tools for 300 mm wafer fabrication for 7 nm node and beyond J. Micro/Nanolith. MEMS MOEMS 18 023505

    [18] Kolenov D and Pereira S F 2020 Machine learning techniques applied for the detection of nanoparticles on surfaces using coherent Fourier scatterometry Opt. Express 28 19163-86

    [19] Solecky E, Patterson O D, Stamper A, McLellan E, Buengener R, Vaid A, Hartig C, Bunday B, Arceo A and Cepler A 2013 In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection Proc. SPIE 8681 86810D

    [20] Mukhtar M 2018 Assessing a Multi-Electron Beam Application Approach for Semiconductor Process Metrology (Albany, NY: State University of New York Polytechnic Institute)

    [21] Sentenac A, Chaumet P C and Belkebir K 2006 Beyond the Rayleigh criterion: grating assisted far-field optical diffraction tomography Phys. Rev. Lett. 97 243901

    [22] Ohkubo A, Lee J, Kim H, Chu J, Jang S, Bae S and Joo W 2020 Signal-to-noise ratio evaluation for the nanometer-scale patterned defect inspection using dark-field microscopy with tailored polarization illumination Proc. SPIE 11485 114850N

    [23] Miles R B, Lempert W R and Forkey J N 2001 Laser Rayleigh scattering Meas. Sci. Technol. 12 R33-R51

    [24] Bohren C F and Huffman D R 2004 Absorption and Scattering of Light by Small Particles (Weinheim: Wiley-VCH) pp 130-5

    [25] Crimmins T F 2011 Wafer noise models for defect inspection Proc. SPIE 7971 79710E

    [26] Iida S, Nagai T and Uchiyama T 2019 Development of standard samples with programmed defects for evaluation of pattern inspection tools Proc. SPIE 10959 109590J

    [27] Lim K, Choi S, Cho W, Chung D H, Jeon C U and Cho H 2010 An analysis of correlation between scanning direction and defect detection at ultra high resolution Proc. SPIE 7823 782334

    [28] You Y M, Du C L, Ma Y, Kasim J, Yu T and Shen Z X 2008 Effect of near-field coupling on far-field inelastic scattering imaging of gold nanoparticles Nanotechnology 19 395705

    [29] Mayergoyz I D, Fredkin D R and Zhang Z Y 2005 Electrostatic (plasmon) resonances in nanoparticles Phys. Rev. B 72 155412

    [30] Golani O, Dolev I, Pond J and Niegemann J 2016 Simulating semiconductor structures for next-generation optical inspection technologies Opt. Eng. 55 025102

    [31] Zhu Z R, Swecker A L and Strojwas A J 2004 METRO-3D: an efficient three-dimensional wafer inspection simulator for next-generation lithography IEEE Trans. Semicond. Manuf. 17 619-28

    [32] Rommelfanger N J, Ou Z H, Keck C H C and Hong G S 2021 Differential heating of metal nanostructures at radio frequencies Phys. Rev. Appl. 15 054007

    [33] Park S W, Park G, Kim Y, Cho J H, Lee J and Kim H 2018 Through-focus scanning optical microscopy with the Fourier modal method Opt. Express 26 11649-57

    [34] Arceo A, Bunday B, Vartanian V and Attota R 2012 Patterned defect and CD metrology by TSOM beyond the 22 nm node Proc. SPIE 8324 83240E

    [35] Attota R and Silver R 2011 Nanometrology using a through-focus scanning optical microscopy method Meas. Sci. Technol. 22 024002

    [36] Attota R and Kramar J 2016 Optimizing noise for defect analysis with through-focus scanning optical microscopy Proc. SPIE 9778 977811

    [37] Thiel B, Lercel M, Bunday B and Malloy M 2014 Assessing the viability of multi-electron beam wafer inspection for sub-20 nm defects Proc. SPIE 9236 92360E

    [38] Henn M A, Zhou H, Silver R M and Barnes B M 2019 Applications of machine learning at the limits of form-dependent scattering for defect metrology Proc. SPIE 10959 109590Z

    [39] Manser R 2019 EUV microscopy: a unique approach for materials characterization PhotonicsView 16 42-45

    [40] van de Hulst H C 1981 Light Scattering by Small Particles (New York: Dover Publications, Inc.) pp 63-70

    [41] Born M and Wolf E 1999 Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light 7th edn (Cambridge: Cambridge University Press) pp 837-40

    [42] Bhaduri B, Edwards C, Pham H, Zhou R J, Nguyen T H, Goddard L L and Popescu G 2014 Diffraction phase microscopy: principles and applications in materials and life sciences Adv. Opt. Photonics 6 57-119

    [43] Palik E D 1998 Handbook of Optical Constants of Solids (San Diego, CA: Academic) pp 369-571

    [44] Wells K, Chen G, Derstine M, Lange S and Shortt D 2017 Extending optical inspection to the VUV Proc. Frontiers of Characterization and Metrology for Nanoelectronics 2017 (California: National Institute of Standards and Technology) pp 92-101

    [45] Barnes B M, Henn M A, Sohn M Y, Zhou H and Silver R M 2019 Assessing form-dependent optical scattering at vacuum- and extreme-ultraviolet wavelengths of nanostructures with two-dimensional periodicity Phys. Rev. Appl. 11 064056

    [46] Barnes B M, Goasmat F, Sohn M Y, Zhou H, Vladar A E and Silver R M 2015 Effects of wafer noise on the detection of 20-nm defects using optical volumetric inspection J. Micro/Nanolith. MEMS MOEMS 14 014001

    [47] Barnes B M, Goasmat F, Sohn M Y, Zhou H, Silver R M and Arceo A 2013 Enhancing 9 nm node dense patterned defect optical inspection using polarization, angle, and focus Proc. SPIE 8681 86810E

    [48] Virk K S 2021 Fast computation of scattering by isolated defects in periodic dielectric media J. Opt. Soc. Am. B 38 1763-75

    [50] Hopkins H H 1953 On the diffraction theory of optical images Proc. R. Soc. A 217 408-32

    [51] Hopkins H H 1964 Canonical pupil coordinates in geometrical and diffraction image theory Jpn. J. Appl. Phys. 3 31-35

    [52] Liu W, Liu S Y, Zhou T T and Wang L J 2009 Aerial image based technique for measurement of lens aberrations up to 37th Zernike coefficient in lithographic tools under partial coherent illumination Opt. Express 17 19278-91

    [53] Meshulach D, Dolev I, Yamazaki Y, Tsuchiya K, Kaneko M, Yoshino K and Fujii T 2010 Advanced lithography: wafer defect scattering analysis at DUV Proc. SPIE 7638 76380K

    [54] Sohn Y J, Quintanilha R, Barnes B M and Silver R M 2009 193 nm angle-resolved scatterfield microscope for semiconductor metrology Proc. SPIE 7405 74050R

    [55] Barnes B M, Sohn M Y, Goasmat F, Zhou H, Vladar A E, Silver R M and Arceo A 2013 Three-dimensional deep sub-wavelength defect detection using λ = 193 nm optical microscopy Opt. Express 21 26219-26

    [56] Silver R M, Barnes B M, Sohn Y, Quintanilha R, Zhou H, Deeb C, Johnson M, Goodwin M and Patel D 2010 The limits and extensibility of optical patterned defect inspection Proc. SPIE 7638 76380J

    [57] Fujii T, Konno Y, Okada N, Yoshino K and Yamazaki Y 2009 Development of optical simulation tool for defect inspection Proc. SPIE 7272 72721A

    [58] Ito C, Durant S, Lange S, Harukawa R, Miyagi T, Nagaswami V, Delgadillo P R, Gronheid R and Nealey P 2014 Inspection of directed self-assembly defects Proc. SPIE 9049 90492D

    [59] Barnes B M, Sohn Y J, Goasmat F, Zhou H, Silver R M and Arceo A 2012 Scatterfield microscopy of 22 nm node patterned defects using visible and DUV light Proc. SPIE 8324 83240F

    [60] Fujiwara H 2007 Spectroscopic Ellipsometry: Principles and Applications (Chichester: John Wiley & Sons, Ltd) pp 13-19

    [61] Fox M 2010 Optical Properties of Solids 2nd edn (New York: Oxford University Press Inc.) pp 40-60

    [62] Altamirano M M and Skumanich A 1998 Enhanced defect detection capability using combined brightfield/darkfield imaging Proc. SPIE 3509 60-64

    [63] McMackin I, Perez J, Selinidis K, Maltabes J, Resnick D and Sreenivassan S V 2008 High resolution defect inspection of step and flash imprint lithography for 32 nm half-pitch patterning Proc. SPIE 6921 69211L

    [64] Perry-Sullivan C, Chua C and McLaren M 2006 The winning streak: advanced darkfield inspection for 65 nm design rules and below Proc. Yield Management Solutions 2006 (California: YMS) pp 48-55

    [65] Tian M et al 2019 Critical defect detection, monitoring and fix through process integration engineering by using D2DB pattern monitor solution Proc. SPIE 10962 109620L

    [66] Nakazawa T and Kulkarni D V 2018 Wafer map defect pattern classification and image retrieval using convolutional neural network IEEE Trans. Semicond. Manuf. 31 309-14

    [67] Leray P, Halder S, Di Lorenzo P, Wang F, Zhang P C, Fang W, Liu K and Jau J 2016 Study of design-based e-beam defect inspection for hotspot detection and process window characterization on 10 nm logic device Proc. SPIE 9778 97780O

    [68] Hashimoto K, Usui S, Yoshida K, Nagahama I, Nagano O, Matsuoka Y, Yamazaki Y and Inoue S 2008 Hot spot management with die-to-database wafer inspection system Proc. SPIE 6925 692517

    [69] Ma E, Chou K, Liu X D, Ren W M, Hu X R and Wang F 2018 Multiple beam technology development and application for defect inspection on EUV wafer/mask Proc. SPIE 10810 1081014

    [70] Goodman J W 1996 Introduction to Fourier Optics 2nd edn (Singapore: McGraw-Hill) pp 66-67

    [71] Capoglu I R, Roger J D, Taflove A and Backman V 2012 The microscope in a computer: image synthesis from three-dimensional full-vector solutions of Maxwell’s equations at the nanometer scale Prog. Opt. 57 1-91

    [72] Peng D P, Li Y, Satake M, Hu P, Chen J, Hsu S C, Lai R, Lin C S and Tuo L C C 2012 AIMS D2DB simulation for DUV and EUV mask inspection Proc. SPIE 8352 835209

    [73] Yoshioka T, Miyoshi T and Takaya Y 2005 Particle detection for patterned wafers of 100 nm design rule by evanescent light illumination: analysis of evanescent light scattering using finite-difference time-domain (FDTD) method Proc. SPIE 6049 604909

    [74] Swecker A L, Strojwas A J, Levy A and Bell B R 1997 Characterization of defect detection schemes using rigorous 3D EM field simulation Proc. SPIE 3050 313-21

    [75] Iida S, Nagai T and Uchiyama T 2019 Development of standard samples with programmed defects for evaluation of pattern inspection tools for 7-nm and smaller nodes J. Micro/Nanolith. MEMS MOEMS 18 033503

    [76] Lauper M, Fromme P, Robyr J L and Masserey B 2018 Silicon wafer defect detection using high frequency guided waves Proc. SPIE 10600 106000G

    [77] Zhao Q, Yang H, Nie B B, Luo Y S, Shao J Y and Li G 2022 Wafer-scale and cost-effective manufacturing of controllable nanogap arrays for highly sensitive SERS Sensing ACS Appl. Mater. Interfaces 14 2580-90

    [78] Wang C, Chen X G, Chen C, Sheng S, Song L X, Gu H G, Jiang H, Zhang C W and Liu S Y 2021 Reconstruction of finite deep sub-wavelength nanostructures by Mueller-matrix scattered-field microscopy Opt. Express 29 32158-68

    [79] Yang S, Taflove A and Backman V 2011 Experimental confirmation at visible light wavelengths of the backscattering enhancement phenomenon of the photonic nanojet Opt. Express 19 7084-93

    [80] Feng X B, Su R, Happonen T, Liu J and Leach R 2018 Fast and cost-effective in-process defect inspection for printed electronics based on coherent optical processing Opt. Express 26 13927-37

    [81] Zhou R J, Edwards C, Popescu G and Goddard L L 2014 9 nm node wafer defect inspection using visible light Proc. SPIE 9050 905017

    [82] Xu J M, Liu Y and Wu Y L 2021 Automatic defect inspection for monocrystalline solar cell interior by electroluminescence image self-comparison method IEEE Trans. Instrum. Meas. 70 5016011

    [83] Zhou R J, Edwards C, Popescu G and Goddard L 2015 Semiconductor defect metrology using laser-based quantitative phase imaging Proc. SPIE 9336 93361I

    [84] Schmalfuss H, Schulmeyer T, Heumann J, Lang M and Sier J P 2007 Sensitivity comparison of fast integrated die-to-die T+R pattern inspection, standard database inspection, and STARlight2 contamination mode for application in mask production Proc. SPIE 6730 673025

    [85] Attota R, Germer T A and Silver R M 2008 Through-focus scanning-optical-microscope imaging method for nanoscale dimensional analysis Opt. Lett. 33 1990-2

    [86] Noguez C 2007 Surface plasmons on metal nanoparticles: the influence of shape and physical environment J. Phys. Chem. C 111 3806-19

    [87] Gozhenko V V, Grechko L G and Whites K W 2003 Electrodynamics of spatial clusters of spheres: substrate effects Phys. Rev. B 68 125422

    [88] Ryabko M, Shchekin A, Koptyaev S, Lantsov A, Medvedev A, Shcherbakov A and Oh S Y 2015 Through-focus scanning optical microscopy (TSOM) considering optical aberrations: practical implementation Opt. Express 23 32215-21

    [89] Peng R, Qu Y, Hao J, Pan H, Niu J and Jiang J 2019 Multiple parametric nanoscale measurements with high sensitivity based on through-focus scanning optical microscopy J. Microsc. 274 139-49

    [90] Ryabko M V, Koptyaev S N, Shcherbakov A V, Lantsov A D and Oh S Y 2013 Method for optical inspection of nanoscale objects based upon analysis of their defocused images and features of its practical implementation Opt. Express 21 24483-9

    [91] Attota R K and Kang H 2016 Parameter optimization for through-focus scanning optical microscopy Opt. Express 24 14915-24

    [92] Peng R J, Jiang J, Hao J L and Qu Y F 2020 Lateral movement and angular illuminating non-uniformity corrected TSOM image using Fourier transform Opt. Express 28 6294-305

    [93] Lee J H, Na S, Jeong J and Buengener R 2021 Comparative near infrared through-focus scanning optical microscopy for 3D memory subsurface defect detection and classification Proc. SPIE 11611 116110T

    [94] Joo J Y, Lee J H, Jang W H and Lim Y W 2021 Defect height estimation via model-less TSOM under optical resolution Opt. Express 29 27508-20

    [95] Lee J H, You B G, Park S W and Kim H 2020 Motion-free TSOM using a deformable mirror Opt. Express 28 16352-62

    [96] Ren H and Wu S-T 2005 Variable-focus liquid lens by changing aperture Appl. Phys. Lett. 86 211107

    [97] Attota R 2018 Through-focus or volumetric type of optical imaging methods: a review J. Biomed. Opt. 23 070901

    [98] Mishchenko M I 2009 Gustav Mie and the fundamental concept of electromagnetic scattering by particles: a perspective J. Quant. Spectrosc. Radiat. Transfer 110 1210-22

    [99] Li X C, Min X and Liu D D 2014 Rayleigh approximation for the scattering of small partially charged sand particles J. Opt. Soc. Am. A 31 1495-501

    [100] Tompkins H G and Irene E A 2005 Handbook of Ellipsometry (Norwich: William Andrew) pp 246-52

    [101] Pham H V, Bhaduri B, Tangella K, Best-Popescu C and Popescu G 2013 Real time blood testing using quantitative phase imaging PLoS One 8 e55676

    [102] Okamoto R and Tahara T 2021 Precision limit for simultaneous phase and transmittance estimation with phase-shifting interferometry Phys. Rev. A 104 033521

    [103] Ishikawa K, Tanigawa R, Yatabe K, Oikawa Y, Onuma T and Niwa H 2018 Simultaneous imaging of flow and sound using high-speed parallel phase-shifting interferometry Opt. Lett. 43 991-4

    [104] Tahara T, Quan X Y, Otani R, Takaki Y and Matoba O 2018 Digital holography and its multidimensional imaging applications: a review Microscopy 67 55-67

    [105] Javidi B et al 2021 Roadmap on digital holography [Invited] Opt. Express 29 35078-118

    [106] Trivedi V, Joglekar M, Mahajan S, Patel N, Chhaniwal V, Javidi B and Anand A 2019 Digital holographic imaging of refractive index distributions for defect detection Opt. Laser Technol. 111 439-46

    [107] Lai G M and Yatagai T 1991 Generalized phase-shifting interferometry J. Opt. Soc. Am. A 8 822-7

    [108] Popescu G, Ikeda T, Dasari R R and Feld M S 2006 Diffraction phase microscopy for quantifying cell structure and dynamics Opt. Lett. 31 775-7

    [109] Curl C L, Bellair C J, Harris P J, Allman B E, Roberts A, Nugent K A and Delbridge L M D 2004 Quantitative phase microscopy: a new tool for investigating the structure and function of unstained live cells Clin. Exp. Pharmacol. Physiol. 31 896-901

    [110] Zhou R J, Edwards C, Arbabi A, Popescu G and Goddard L L 2013 Detecting 20 nm wide defects in large area nanopatterns using optical interferometric microscopy Nano Lett. 13 3716-21

    [111] Zhu J L, Liu Y N, Yu X, Zhou R J, Jin J M and Goddard L L 2019 Sensing sub-10 nm wide perturbations in background nanopatterns using optical pseudoelectrodynamics microscopy (OPEM) Nano Lett. 19 5347-55

    [112] Pham H, Bhaduri B, Ding H F and Popescu G 2012 Spectroscopic diffraction phase microscopy Opt. Lett. 37 3438-40

    [113] Edwards C, Arbabi A, Popescu G and Goddard L L 2012 Optically monitoring and controlling nanoscale topography during semiconductor etching Light Sci. Appl. 1 e30

    [114] Edwards C et al 2014 Diffraction phase microscopy: monitoring nanoscale dynamics in materials science [invited] Appl. Opt. 53 G33-G43

    [115] Zhou R J, Edwards C, Popescu G and Goddard L L 2012 Diffraction phase microscopy for wafer inspection Proc. IEEE Photonics Conf. 2012 (Burlingame, CA: IEEE) pp 644-5

    [116] Zhou R J, Popescu G and Goddard L L 2013 22 nm node wafer inspection using diffraction phase microscopy and image post-processing Proc. SPIE 8681 86810G

    [117] Zhou R J, Edwards C, Bryniarski C A, Popescu G and Goddard L L 2015 9 nm node wafer defect inspection using three-dimensional scanning, a 405 nm diode laser, and a broadband source Proc. SPIE 9424 942416

    [118] Goddard L L 2021 Detecting nanoscale perturbations using new forms of optical microscopy Proc. SPIE 11783 1178303

    [119] Zuo C, Chen Q, Qu W J and Asundi A 2013 High-speed transport-of-intensity phase microscopy with an electrically tunable lens Opt. Express 21 24060-75

    [120] Zhu J L, Zhou R J, Zhang L N, Ge B L, Luo C X and Goddard L L 2019 Regularized pseudo-phase imaging for inspecting and sensing nanoscale features Opt. Express 27 6719-33

    [121] Roichman Y, Sun B, Roichman Y, Amato-Grill J and Grier D G 2008 Optical forces arising from phase gradients Phys. Rev. Lett. 100 013602

    [123] Patel D, Hanrahan J, Lim K, Godwin M, Figliozzi P and Sheu D 2006 Defect metrology challenges for the 45-nm technology node and beyond Proc. SPIE 6152 615207

    [124] Harada M, Minekawa Y and Nakamae K 2019 Defect detection techniques robust to process variation in semiconductor inspection Meas. Sci. Technol. 30 035402

    [125] Zhu J L, Liu S Y, Jiang H, Zhang C W and Chen X G 2015 Improved deep-etched multilayer grating reconstruction by considering etching anisotropy and abnormal errors in optical scatterometry Opt. Lett. 40 471-4

    [126] Zhu J L, Liu S Y, Chen X G, Zhang C W and Jiang H 2014 Robust solution to the inverse problem in optical scatterometry Opt. Express 22 22031-42

    [127] Dong Z Q, Gu H G, Zhu J L, Shi Y T, Nie L, Lyu J Y, Chen X G, Jiang H and Liu S Y 2020 Nonuniform depolarization properties of typical nanostructures and potential applications Opt. Lett. 45 1910-3

    [128] Dong Z Q, Chen X G, Wang X Z, Shi Y T, Jiang H and Liu S Y 2019 Dependence-analysis-based data-refinement in optical scatterometry for fast nanostructure reconstruction Appl. Sci. 9 4091

    [129] Zhu J L, Shi Y T, Goddard L L and Liu S Y 2016 Application of measurement configuration optimization for accurate metrology of sub-wavelength dimensions in multilayer gratings using optical scatterometry Appl. Opt. 55 6844-9

    [130] Zhu J L, Jiang H, Shi Y T, Chen X G, Zhang C W and Liu S Y 2016 Improved nanostructure reconstruction by performing data refinement in optical scatterometry J. Opt. 18 015605

    [131] Chen X G, Du W C, Yuan K, Chen J, Jiang H, Zhang C W and Liu S Y 2016 Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology Rev. Sci. Instrum. 87 053707

    [132] Wang C, Chen X G, Gu H G, Jiang H, Zhang C W and Liu S Y 2020 On the limits of low-numerical-aperture imaging scatterometry Opt. Express 28 8445-62

    [133] Chen C, Chen X G, Gu H G, Jiang H, Zhang C W and Liu S Y 2019 Calibration of polarization effect of a high-numerical-aperture objective lens with Mueller matrix polarimetry Meas. Sci. Technol. 30 025201

    [134] Chen C, Chen X G, Wang C, Sheng S, Song L X, Gu H G and Liu S Y 2021 Imaging Mueller matrix ellipsometry with sub-micron resolution based on back focal plane scanning Opt. Express 29 32712-27

    [135] Chen C, Chen X G, Shi Y T, Gu H G, Jiang H and Liu S Y 2018 Metrology of nanostructures by tomographic Mueller-matrix scatterometry Appl. Sci. 8 2583

    [136] Cho S, Lee J, Kim H, Lee S, Ohkubo A, Lee J, Kim T, Bae S and Joo W 2018 Super-contrast-enhanced darkfield imaging of nano objects through null ellipsometry Opt. Lett. 43 5701-4

    [137] Allen L, Beijersbergen M W, Spreeuw R J C and Woerdman J P 1992 Orbital angular momentum of light and the transformation of Laguerre-Gaussian laser modes Phys. Rev. A 45 8185-9

    [138] Hayenga W E, Parto M, Ren J H, Wu F O, Hokmabadi M P, Wolff C, El-Ganainy R, Mortensen N A, Christodoulides D N and Khajavikhan M 2019 Direct generation of tunable orbital angular momentum beams in microring lasers with broadband exceptional points ACS Photonics 6 1895-901

    [139] Shao Z K, Zhu J B, Chen Y J, Zhang Y F and Yu S Y 2018 Spin-orbit interaction of light induced by transverse spin angular momentum engineering Nat. Commun. 9 926

    [140] Yang Y J, Zhao Q, Liu L L, Liu Y D, Rosales-Guzman C and Qiu C W 2019 Manipulation of orbital-angular-momentum spectrum using pinhole plates Phys. Rev. Appl. 12 064007

    [141] Xie Z W, Lei T, Li F, Qiu H D, Zhang Z C, Wang H, Min C J, Du L P, Li Z H and Yuan X C 2018 Ultra-broadband on-chip twisted light emitter for optical communications Light Sci. Appl. 7 18001

    [142] Willner A E et al 2015 Optical communications using orbital angular momentum beams Adv. Opt. Photonics 7 66-106

    [143] Stav T, Faerman A, Maguid E, Oren D, Kleiner V, Hasman E and Segev M 2018 Quantum entanglement of the spin and orbital angular momentum of photons using metamaterials Science 361 1101-4

    [144] Wang B, Tanksalvala M, Zhang Z, Esashi Y, Jenkins N W, Murnane M M, Kapteyn H C and Liao C T 2021 Coherent Fourier scatterometry using orbital angular momentum beams for defect detection Opt. Express 29 3342-58

    [145] Wen Y H, Chremmos I, Chen Y J, Zhang Y F and Yu S Y 2020 Arbitrary multiplication and division of the orbital angular momentum of light Phys. Rev. Lett. 124 213901

    [146] Aboushelbaya R et al 2019 Orbital angular momentum coupling in elastic photon-photon scattering Phys. Rev. Lett. 123 113604

    [147] Guo K K, Bian Z C, Dong S Y, Nanda P, Wang Y M and Zheng G A 2015 Microscopy illumination engineering using a low-cost liquid crystal display Biomed. Opt. Express 6 574-9

    [148] Khare K 2016 Fourier Optics and Computational Imaging (Chichester: John Wiley & Sons, Ltd) pp 145-52

    [149] Zhang L L, Karpowicz N, Zhang C L and Zhao Y J 2007 Terahertz real-time imaging for nondestructive detection Proc. SPIE 6840 68400Y

    [150] Isaac T H, Barnes W L and Hendry E 2008 Determining the terahertz optical properties of subwavelength films using semiconductor surface plasmons Appl. Phys. Lett. 93 241115

    [151] Doiron B et al 2019 Quantifying figures of merit for localized surface plasmon resonance applications: a materials survey ACS Photonics 6 240-59

    [152] Huttunen M J, Rasekh P, Boyd R W and Dolgaleva K 2018 Using surface lattice resonances to engineer nonlinear optical processes in metal nanoparticle arrays Phys. Rev. A 97 053817

    [153] Zhu A N, Gao R X, Zhao X Y, Zhang F, Zhang X Y, Yang J H, Zhang Y J, Chen L and Wang Y X 2019 Site-selective growth of Ag nanoparticles controlled by localized surface plasmon resonance of nanobowl arrays Nanoscale 11 6576-83

    [154] Li R Y, Tu W W, Wang H S and Dai Z H 2018 Near-infrared light excited and localized surface plasmon resonance-enhanced photoelectrochemical biosensing platform for cell analysis Anal. Chem. 90 9403-9

    [155] Debu D T, Yan Q G, Darweesh A A, Benamara M and Salamo G 2020 Broad range electric field enhancement of a plasmonic nanosphere heterodimer Opt. Mater. Express 10 1704-13

    [156] Li Y F, Dong F X, Chen Y, Zhang X L, Wang L, Bi Y G, Tian Z N, Liu Y F, Feng J and Sun H B 2016 As-grown graphene/copper nanoparticles hybrid nanostructures for enhanced intensity and stability of surface plasmon resonance Sci. Rep. 6 37190

    [157] Lee W, Lee S Y, Briber R M and Rabin O 2011 Self-assembled SERS substrates with tunable surface plasmon resonances Adv. Funct. Mater. 21 3424-9

    [158] Rivas J G, Sanchez-Gil J A, Kuttge M, Bolivar P H and Kurz H 2006 Optically switchable mirrors for surface plasmon polaritons propagating on semiconductor surfaces Phys. Rev. B 74 245324

    [159] Shubina T V, Gippius N A, Shalygin V A, Andrianov A V and Ivanov S V 2011 Terahertz radiation due to random grating coupled surface plasmon polaritons Phys. Rev. B 83 165312

    [160] Yang T, Xin J S, Zhu Y Y, Qin Y Q, Li X A and Huang W 2016 Spectrum analysis of bio-chemical thin films on the surface of a semiconductor by terahertz surface plasmon polaritons J. Infrared Millim. Wave 35 667-71

    [161] Yang T, Ge J C, Li X A, Stantchev R I, Zhu Y Y, Zhou Y and Huang W 2018 Non-destructive plasma frequency measurement for a semiconductor thin film using broadband surface plasmon polaritons Opt. Commun. 410 926-9

    [162] Yang T, Li Y Y, Stantchev R, Zhu Y Y, Qin Y Q, Zhou X H and Huang W 2016 Detection of defects on the surface of a semiconductor by terahertz surface plasmon polaritons Appl. Opt. 55 4139-44

    [163] Saxler J, Rivas J G, Janke C, Pellemans H P M, Bolivar P H and Kurz H 2004 Time-domain measurements of surface plasmon polaritons in the terahertz frequency range Phys. Rev. B 69 155427

    [164] Johnson B R 1996 Calculation of light scattering from a spherical particle on a surface by the multipole expansion method J. Opt. Soc. Am. A 13 326-37

    [165] Zontak M and Cohen I 2009 Defect detection in patterned wafers using multichannel Scanning Electron Microscope Signal Process. 89 1511-20

    [166] Yoon J W, Ma S M, Kim G P, Kang Y, Hahn J, Kwon O J, Kim K and Song S H 2018 Nanophotonic identification of defects buried in three-dimensional NAND flash memory devices Nat. Electron. 1 60-67

    [167] Poddubny A, Iorsh I, Belov P and Kivshar Y 2013 Hyperbolic metamaterials Nat. Photonics 7 948-57

    [168] Chen R, Lee Y H, Zhan T, Yin K, An Z W and Wu S T 2019 Multistimuli-responsive self-organized liquid crystal Bragg gratings Adv. Opt. Mater. 7 1900101

    [169] Wu F, Lu G, Guo Z W, Jiang H T, Xue C H, Zheng M J, Chen C X, Du G Q and Chen H 2018 Redshift gaps in one-dimensional photonic crystals containing hyperbolic metamaterials Phys. Rev. Appl. 10 064022

    [170] Huo P C, Zhang S, Liang Y Z, Lu Y Q and Xu T 2019 Hyperbolic metamaterials and metasurfaces: fundamentals and applications Adv. Opt. Mater. 7 1801616

    [171] Green M A 2008 Self-consistent optical parameters of intrinsic silicon at 300 K including temperature coefficients Sol. Energy Mater. Sol. Cells 92 1305-10

    [172] Holler M, Guizar-Sicairos M, Tsai E H R, Dinapoli R, Müller E, Bunk O, Raabe J and Aeppli G 2017 High-resolution non-destructive three-dimensional imaging of integrated circuits Nature 543 402-6

    [173] Dierolf M, Menzel A, Thibault P, Schneider P, Kewish C M, Wepf R, Bunk O and Pfeiffer F 2010 Ptychographic x-ray computed tomography at the nanoscale Nature 467 436-9

    [174] Spence S, Lee W K, Lin F and Xiao X H 2021 Transmission x-ray microscopy and its applications in battery material research—a short review Nanotechnology 32 442003

    [175] Holler M, Diaz A, Guizar-Sicairos M, Karvinen P, Farm E, Harkonen E, Ritala M, Menzel A, Raabe J and Bunk O 2015 X-ray ptychographic computed tomography at 16 nm isotropic 3D resolution Sci. Rep. 4 3857

    [176] Odstrcil M, Holler M, Raabe J and Guizar-Sicairos M 2018 High resolution 3D imaging of integrated circuits by x-ray ptychography Proc. SPIE 10656 106560U

    [177] Holler M et al 2019 Three-dimensional imaging of integrated circuits with macro- to nanoscale zoom Nat. Electron. 2 464-70

    [178] Nativ A, Feldman H and Shaked N T 2018 Wafer defect detection by a polarization-insensitive external differential interference contrast module Appl. Opt. 57 3534-8

    [179] Geng H, Yang F, Zeng X and Yu B 2021 When wafer failure pattern classification meets few-shot learning and self-supervised learning Proc. 2021 IEEE/ACM Int. Conf. on Computer Aided Design (ICCAD) (Munich: IEEE) pp 1-8

    [180] Church J et al 2021 A holistic characterization methodology for stochastic printing failures in EUV contact holes Proc. 2021 32nd Annual SEMI Advanced Semiconductor Manufacturing Conf. (ASMC) (Milpitas, CA: IEEE) pp 1-4

    [181] Das S, Kang S, Halder S, Maruyama K, Leray P and Yamazaki Y 2020 Massive metrology of 2D logic patterns on BEOL EUVL Proc. SPIE 11325 113250J

    [182] Chou P B, Rao A R, Sturzenbecker M C, Wu F Y and Brecher V H 1997 Automatic defect classification for semiconductor manufacturing Mach. Vis. Appl. 9 201-14

    [183] Henn M A, Barnes B M, Zhou H and Silver R M 2018 Optimizing defect detectability across multiple ultraviolet wavelengths (Conference Presentation) Proc. SPIE 10585 105850A

    [184] Singh S, Khokale S, Xie Q, Venkatachalam P, Greer A, Mathur A and Jain A 2019 Utilizing single scan and enhanced design-based binning methodologies for improved process window and hotspot discovery Proc. 2019 30th Annual SEMI Advanced Semiconductor Manufacturing Conf. (ASMC) (Saratoga Springs, NY: IEEE) pp 1-4

    [185] Lin Y Y et al. 2019. Fast and accurate defect classification for CMP process monitoring Proc. 2019 30th Annual SEMI Advanced Semiconductor Manufacturing Conf. (ASMC) (Saratoga Springs, NY: IEEE) pp 1-5

    [186] Chen Y Q, Shu Z W, Zhang S, Zeng P, Liang H K, Zheng M J and Duan H G 2021 Sub-10 nm fabrication: methods and applications Int. J. Extrem. Manuf. 3 032002

    [187] Quhe R G et al 2021 Sub-10 nm two-dimensional transistors: theory and experiment Phys. Rep. 938 1-72

    [188] Bunday B D, Mukhtar M, Quoi K, Thiel B and Malloy M 2015 Simulating massively parallel electron beam inspection for sub-20 nm defects Proc. SPIE 9424 94240J

    [189] Osten W, Haist T and Manske E 2018 How to drive an optical measurement system to outstanding performance Proc. SPIE 10557 105570Q

    [190] LeCun Y, Bengio Y and Hinton G 2015 Deep learning Nature 521 436-44

    [191] Wang T, Chen Y, Qiao M N and Snoussi H 2018 A fast and robust convolutional neural network-based defect detection model in product quality control Int. J. Adv. Manuf. Technol. 94 3465-71

    [192] Patel D V, Bonam R K and Oberai A A 2020 Deep learning-based detection, classification, and localization of defects in semiconductor processes J. Micro/Nanolith. MEMS MOEMS 19 024801

    [193] Wu K H, Chen Z G and Li W 2018 A novel Intrusion detection model for a massive network using convolutional neural networks IEEE Access 6 50850-9

    [194] Lecun Y, Bottou L, Bengio Y and Haffner P 1998 Gradient-based learning applied to document recognition Proc. IEEE 86 2278-324

    [195] Chien J C, Wu M T and Lee J D 2020 Inspection and classification of semiconductor wafer surface defects using CNN deep learning networks Appl. Surf. 10 5340

    [196] Cheon S, Lee H, Kim C O and Lee S H 2019 Convolutional neural network for wafer surface defect classification and the detection of unknown defect class IEEE Trans. Semicond. Manuf. 32 163-70

    [197] Pan J M et al 2021 Transfer learning-based artificial intelligence-integrated physical modeling to enable failure analysis for 3 nanometer and smaller silicon-based CMOS transistors ACS Appl. Nano Mater. 4 6903-15

    [198] Hsu C Y and Chien J C 2022 Ensemble convolutional neural networks with weighted majority for wafer bin map pattern classification J. Intell. Manuf. 33 831-44

    [199] Henn M A, Zhou H and Barnes B M 2019 Data-driven approaches to optical patterned defect detection OSA Contin. 2 2683-93

    [200] Zhu J L, Udupa A and Goddard L L 2020 Visualizable detection of nanoscale objects using anti-symmetric excitation and non-resonance amplification Nat. Commun. 11 2754

    Jinlong Zhu, Jiamin Liu, Tianlai Xu, Shuai Yuan, Zexu Zhang, Hao Jiang, Honggang Gu, Renjie Zhou, Shiyuan Liu. Optical wafer defect inspection at the 10 nm technology node and beyond[J]. International Journal of Extreme Manufacturing, 2022, 4(3): 32001
    Download Citation