• Photonics Research
  • Vol. 10, Issue 9, A106 (2022)
Yaocheng Shi1、*, Yong Zhang2, Yating Wan3、4, Yu Yu5, Yuguang Zhang6、7, Xiao Hu6、7, Xi Xiao6、7, Hongnan Xu1、8, Long Zhang1, and Bingcheng Pan1
Author Affiliations
  • 1Centre for Optical and Electromagnetic Research, State Key Laboratory for Modern Optical Instrumentation, College of Optical Science and Engineering, Zhejiang University, Zijingang Campus, Hangzhou 310058, China
  • 2State Key Laboratory of Advanced Optical Communication Systems and Networks, Department of Electronic Engineering, Shanghai Jiao Tong University, Shanghai 200240, China
  • 3Institute for Energy Efficiency, University of California Santa Barbara, Santa Barbara, California 93106, USA
  • 4Electrical and Computer Engineering Department, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900, Saudi Arabia
  • 5Wuhan National Laboratory for Optoelectronics & School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074, China
  • 6National Information Optoelectronics Innovation Center, China Information and Communication Technologies Group Corporation (CICT), Wuhan 430074, China
  • 7State Key Laboratory of Optical Communication Technologies and Networks, China Information and Communication Technologies Group Corporation (CICT), Wuhan 430074, China
  • 8Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, New Territories, Hong Kong, China
  • show less
    DOI: 10.1364/PRJ.456772 Cite this Article Set citation alerts
    Yaocheng Shi, Yong Zhang, Yating Wan, Yu Yu, Yuguang Zhang, Xiao Hu, Xi Xiao, Hongnan Xu, Long Zhang, Bingcheng Pan. Silicon photonics for high-capacity data communications[J]. Photonics Research, 2022, 10(9): A106 Copy Citation Text show less

    Abstract

    In recent years, optical modulators, photodetectors, (de)multiplexers, and heterogeneously integrated lasers based on silicon optical platforms have been verified. The performance of some devices even surpasses the traditional III-V and photonic integrated circuit (PIC) platforms, laying the foundation for large-scale photonic integration. Silicon photonic technology can overcome the limitations of traditional transceiver technology in high-speed transmission networks to support faster interconnection between data centers. In this article, we will review recent progress for silicon PICs. The first part gives an overview of recent achievements in silicon PICs. The second part introduces the silicon photonic building blocks, including low-loss waveguides, passive devices, modulators, photodetectors, heterogeneously integrated lasers, and so on. In the third part, the recent progress on high-capacity silicon photonic transceivers is discussed. In the fourth part, we give a review of high-capacity silicon photonic networks on chip.

    1. INTRODUCTION

    With the development of big data and Internet of Things, the demand for data centers and high-performance computing (HPC) is increasing. An extremely large link capacity for high-speed datacom interconnects between multi-cores or local/distant caches is strongly required. However, it is becoming increasingly difficult for the conventional electrical interconnects to meet the ever-growing capacity requirement since they severely suffer from limited bandwidth and significant power consumption. The demand of high-capacity, reliable, and low-cost optical data links has led to the development of photonic integrated circuits (PICs) to improve the power efficiency, latency, and capacity. The single wavelength channel capacity for the conventional transceiver modules composed of discrete optical components is usually 50–100 Gb/s. Next-generation high-capacity data communication systems will require dramatically increased complexity that cannot be obtained by simply increasing the number of channels using discrete optical components. Silicon-based optoelectronic technology can significantly increase the integration density and reduce the cost and energy consumption, which has great potential in the future. The key driving force behind silicon photonics is the ability to use complementary metal-oxide-semiconductor (CMOS)-compatible fabrication resulting in high-volume production at low cost. The high-confinement silicon waveguides make them ideal for medium- to high-density integration with compact silicon photonic devices. Silicon based PICs provide a promising solution to resolve the dilemma of scaling system complexity while reducing the size, energy, and cost [1,2]. In the case of silicon-based PICS, the optical circuit can be built up on a large-diameter silicon-on-insulator (SOI) substrate with almost all the required components, including the modulators [3], multiplexers [4], and photodetectors [5], realized by the CMOS-compatible processes. The lack of a reliable silicon laser has been the major impediment due to the fundamental indirect bandgap limit of silicon material. Heterogeneous integration of III–V material to the silicon platform using the wafer bonding technology has been demonstrated to achieve on-chip lasers [6].

    In this paper, the key components for high-speed optical communication and high-capacity data communication systems, including wavelength multiplexing devices, polarization management devices, mode multiplexing devices, heterogeneously integrated lasers, modulators, and optical functional devices realized on silicon photonics platforms are explained. The integration of III–V lasers, modulators, and other functional devices to form transceiver modules is also reviewed. This review on silicon photonics delves into the different technologies of the field, giving an insight into the state of the art as well as current and future challenges.

    2. SILICON PHOTONIC BUILDING BLOCKS

    A. Passive Devices

    Silicon passive devices are fundamental elements in silicon photonics, which are defined as integrated devices without supplying electrical signals. Edge couplers, grating couplers, crossings, bends, couplers, and splitters are essential components of passive devices, which have been reviewed by some excellent papers [7,8]. These silicon passive devices are included in the process design kit (PDK) library provided by the foundries [9,10]. Functional silicon integrated chips can be assembled by these basic elements. Therefore, the development routine of integrated chips is simplified for the users. To meet the demand for high-capacity data communications, multiple physical dimensions of an optical carrier can be exploited for multiplexing on an SOI platform, including wavelength, polarization, and mode. Silicon passive devices that can operate in one or more of the three dimensions have been developed. In this section, we review the passive devices for the three dimensions, including wavelength multiplexing, polarization handling, and mode multiplexing devices.

    1. Wavelength Multiplexing Devices

    On-chip wavelength-division multiplexing (WDM) devices are utilized to multiplex a number of optical signals with different wavelengths into a single waveguide. Many basic structures have been used to realize on-chip WDM devices, such as microring resonators (MRRs), Mach–Zehnder interferometers (MZIs), arrayed waveguide gratings (AWGs), and Bragg gratings.

    Silicon MRR attracts much attention due to its easy design and compact footprint. An add-drop type MRR can be utilized as a wavelength multiplexing or filtering device, which consists of a looped waveguide with two side-coupled straight waveguides. The light with on-resonance wavelength is selected and coupled to the Drop port, while the signal with off-resonance wavelength output from the Thru port. Correspondingly, the light with on-resonance wavelength from the Drop port and the signal with off-resonance wavelength from the Thru port can be multiplexed in the Input port, i.e., the multiplexing function is achieved. The operation bandwidth of the MRR-based WDM system is limited by the relatively small free spectral ranges (FSRs) of the rings, which is inversely proportional to the total length of the ring. An ultrasharp waveguide bend can be introduced to achieve a small radius ring with a large FSR. A large FSR of 93 nm is obtained in an add-drop multimode MRR with a radius of 0.8 μm [11]. The Vernier effect in cascading MRRs with different FSRs [12,13] or coherent interference method [14,15] can be also used to achieve larger FSRs. The spectral response of a single MRR is expressed by Lorentzian function, while a box-like spectral response is desired in the WDM system. The combinations of multiple MRRs are utilized to improve the spectral response, such as series coupling, parallel coupling, and cascaded topology. Quadruple Vernier racetrack resonators on an SOI platform are demonstrated with a ripple of 0.2 dB, an adjacent channel isolation of 37.2 dB, and an FSR of 37.52 nm [16]. Second- and fifth-order ring filters are reported with a bandwidth of 1–2 GHz, FSRs of 50 GHz, and out-of-band extinction ratios of 50 dB [17]. More recently, the second-, third-, and fourth-order adiabatic elliptical-MRRs are proposed to achieve box-like spectral responses with a large FSR of 37 nm as shown in Fig. 1(a). Based on the high-order MRRs, an optical filter is demonstrated with eight channels, a channel spacing of 3.2 nm, and cross talk of <30  dB [18]. An important issue of the MRRs is the wavelength misalignment, which may be induced by the fabrication imperfections or temperature fluctuations. Thermal tuning is generally used to align the wavelengths, which introduces extra power consumption and increases the management complexity [19].

    (a) Optical microscope and scanning electron microscope (SEM) photos of an eight-channel add-drop filter based on second-adiabatic elliptical-MRRs [18]; (b) micrograph of a cascaded MZI (de)multiplexer [20]; (c) optical micrographs of a bidirectional AWG and an MZI-based interleaver [4]; (d) SEM photos of a contradirectional coupler based on an apodized SWG [21].

    Figure 1.(a) Optical microscope and scanning electron microscope (SEM) photos of an eight-channel add-drop filter based on second-adiabatic elliptical-MRRs [18]; (b) micrograph of a cascaded MZI (de)multiplexer [20]; (c) optical micrographs of a bidirectional AWG and an MZI-based interleaver [4]; (d) SEM photos of a contradirectional coupler based on an apodized SWG [21].

    MZIs with different arm lengths can be used to realize wavelength multiplexing or filtering. Unlike the unwanted nonlinear effect induced by the field enhancement in the looped waveguide of the MRR, MZIs without field enhancement can work for optical signals with higher power. As shown in Fig. 1(b), a 1-to-8 wavelength (de)multiplexer based on a binary tree of cascaded MZI lattice filters is demonstrated with a low insertion loss of <1.6  dB and flat-topped responses [20]. Athermalization is another main requirement for a silicon filter. A hybrid strip-slot waveguide is introduced into one arm of the MZI structure to achieve athermalization. The temperature-dependent wavelength shift is 5  pm/K, and the insertion loss is 0.4 dB with a device footprint of 170  μm×580  μm [22]. Furthermore, a multifunctional optical filter is proposed, employing a self-coupled MRR assisted MZI structure, which exhibits flexible reconfigurability and tenability for reconfigurable optical signal processing and microwave photonics systems [23].

    As the most well-known structure for dense wavelength division multiplexing (DWDM) applications, AWG is used to combine or split closely spaced wavelength channels of optical signal, which consists of two free propagation regions connected with arrayed waveguides [24]. High-performance AWGs have been realized on various material platforms, including silica [25] and silicon nitride [26]. The high index contrast offered by the SOI platform enables the realization of ultracompact AWGs. A compact 12-channel, 400 GHz silicon AWG is demonstrated with an insertion loss of 3.29 dB, cross talk of 17  dB, nonuniformity of 1.55 dB, and device size of 560  μm×350  μm [27]. An 8×8 silicon nanowire AWG with a channel spacing of 400 GHz and cross talk of 20.5  dB is fabricated by 130 nm CMOS processing [28]. However, for the silicon AWGs with smaller channel spacing, the insertion loss and the cross talk degrade significantly. 4×100  GHz, 8×250  GHz, and 12×400  GHz AWGs are demonstrated with insertion losses of 2.45, 1.32, and 0.53 dB and device footprints of 1180  μm×285  μm, 540  μm×320  μm, and 380  μm×330  μm, respectively [29]. A 512×512 AWG with a channel spacing of 25 GHz is realized based on silicon rib waveguides with cross talk of 4  dB and a size of 16  mm×11  mm [30]. Combining a bidirectional AWG and an MZI optical interleaver is an approach to achieve doubled channel number and halved channel spacing. As depicted in Fig. 1(c), an 18-channel wavelength (de)multiplexer with a channel spacing of 200 GHz is fabricated with insertion losses of 8 dB and cross talk of 15  dB [4]. More recently, a 32-channel wavelength multiplexer with 100 GHz spacing is realized using two 16-channel AWGs with 200 GHz spacing and an MZI with 200 GHz FSR [31].

    Bragg gratings with the advantages of the flat-topped spectral responses and large FSRs are utilized to realize wavelength multiplexing devices for coarse wavelength-division multiplexing (CWDM) applications. It is complemented by the periodic index perturbations on silicon waveguides. A typical two-port Bragg grating operates in reflection mode; thus, an optical isolator or circulator is required, which increases the device complexity. Many methods have been proposed to develop add-drop structures based on Bragg gratings, such as grating-assisted contradirectional couplers, multimode waveguide gratings, and subwavelength gratings (SWGs). A wavelength multiplexer based on the asymmetric contradirectional coupler is reported with a channel spacing of 3  nm and insertion losses of <0.58  dB [32]. A silicon triplexer is proposed based on cascaded multimode waveguide gratings, which is used to (de)multiplex three wavelength channels of 1310/1490/1550 nm. Box-like spectral responses are achieved with insertion losses of <0.9  dB and cross talk of <27  dB. Furthermore, the cascaded multimode waveguide gratings are utilized to realize an on-chip quadplexer for four-wavelength multiplexing of 1270, 1310, 1490, and 1577 nm [33]. An apodized SWG coupler can be also utilized to realize a silicon add-drop filter with a high sidelobe suppression ratio of 27 dB and a 3 dB bandwidth of 8.8 nm as plotted in Fig. 1(d) [21].

    Table 1 shows the state-of-the-art wavelength multiplexing devices.

    State-of-the-Art Wavelength Multiplexing Devicesa

    ReferenceTypeLoss (dB)ER (dB)BW (nm)FSR/Spacing (nm)Channels
    [11]Submicrometer MRR1.8>170.8932×2
    [16]Vernier racetrack/10.2/37.522×2
    [17]High-order MRR2500.0080.41×2
    [18]Elliptical MRR1.5451.43.21×8
    [20]Cascaded MZI1.615/2.41×8
    [22]Two-stage MZI0.413.6514.71×2
    [27]400 GHz AWG3.2917/3.21×12
    [28]400 GHz AWG2.3220.5/3.28×8
    [29]100 GHz AWG2.4517.1/0.84×4
    [30]25 GHz AWG/4/0.2512×512
    [31]MZI+AWG515/0.81×32
    [32]Asymmetric grating0.5810/33×3
    [33]Multimode grating0.5246>401×4

    ER, extinction ratio; BW, bandwidth.

    2. Polarization Management Devices

    Due to the large structural birefringence in the high-index-contrast silicon nanowire waveguides, polarization sensitivity is a major problem in silicon photonics. For a silicon waveguide with typical dimensions of 220  nm×500  nm, the calculated effective refractive indices of the TE and TM polarization are 2.45 and 1.78, respectively. On-chip polarization-division multiplexing (PDM) devices are utilized to multiplex the optical signals with two orthogonal polarizations into a single waveguide channel. PDM devices have found many applications, such as integrated coherent transceivers, which have become critical components for high-capacity long-haul optical communications. Polarization beam splitters and rotators are essential components in the PDM system.

    Polarization beam splitters (PBSs) are utilized to split or combine the orthogonal TE and TM polarizations in silicon nanowire waveguides. Many structures have been proposed to realize compact silicon PBSs, including directional couplers, multimode interferometers (MMIs), Y junctions, subwavelength structures, slot waveguides, and plasmonic waveguides. High extinction ratio (ER) is an important evaluation parameter of the PBS. Benefitting from the different coupling strength of the two polarizations in a directional coupler, a silicon PBS is demonstrated with an ER of 15 dB based on a symmetrical directional coupler (DC) with two identical silicon nanowire waveguides [34]. An asymmetrical directional coupler (ADC) is a good structure to obtain higher ER, since coupling only exists for one polarization in the structure with strong birefringence. A PBS based on an ADC consisting of a strip waveguide and a periodic waveguide is demonstrated with an ER of >30  dB and a loss of <1  dB [35]. An asymmetrical grating-assisted contradirectional coupler is employed to achieve a PBS with an ER of >30  dB in a wavelength range of 20 nm as shown in Fig. 2(a). A cascaded bent directional coupler is another option to increase the ER [40]. The measured ER is >35  dB, and the loss is <0.35  dB for the fabricated PBS. Broad operation bandwidth is another primary parameter to evaluate the PBSs. The working bandwidths of directional-coupler-based PBSs are limited due to the waveguide dispersion. Anisotropic metamaterials are exploited to realize an ultrabroadband silicon PBS [41]. The bandwidth is >200  nm with a low loss of <1  dB and an ER of >20  dB. Ultracompact device size is a goal for the on-chip PBSs. Many methods have been proposed to further reduce the length of the PBS, such as subwavelength structures and counter-tapered couplers. A PBS using a pixelated directional coupler is demonstrated with a coupling length of 6 μm, a loss of <1.5  dB, and an ER of >14  dB [42]. A particle-swarm-optimized counter-tapered directional coupler is utilized to achieve a 5 μm long silicon PBS [43]. Furthermore, an SWG waveguide is introduced to shrink the length of the PBS to be only 2 μm [44].

    (a) SEM photos of a PBS based on grating-assisted contradirectional couplers [36]; (b) SEM photo of a cut-cornered polarization rotator [37]; (c) SEM photo of a PSR based on multimode waveguide and mode converter [38]; (d) micrographs of a PSR employing a nonlinearly tapered double-etched ADC structure [39].

    Figure 2.(a) SEM photos of a PBS based on grating-assisted contradirectional couplers [36]; (b) SEM photo of a cut-cornered polarization rotator [37]; (c) SEM photo of a PSR based on multimode waveguide and mode converter [38]; (d) micrographs of a PSR employing a nonlinearly tapered double-etched ADC structure [39].

    The two orthogonal polarizations are maintained in a typical silicon nanowire waveguide with a rectangle cross section. The symmetry of the rectangle waveguide needs to be broken to excite the hybridized modes, which enable efficient polarization conversion. Adiabatic tapers are utilized to achieve mode-evolution-based polarization rotators [45]. Si3N4-assisted adiabatic mode evolution is employed to realize a silicon polarization rotator with a length of 420 μm and a loss of 1 dB [46]. The length of the polarization rotator is long enough to guarantee the low loss and adiabatic conversion [47,48]. The cut-cornered structures are commonly used to break the symmetry of the waveguide for polarization conversion as shown in Fig. 2(b). Polarization rotators based on the cut-cornered structure are theoretically proposed with a conversion length of 10 μm [49] and experimentally demonstrated with a length of 25 μm using 193 nm deep ultraviolet lithography [50], respectively. Furthermore, a 23 μm long polarization rotator exhibits an ER of >17  dB based on double-stair waveguide dimension [51]. In addition, subwavelength structures [52,53] and digital metamaterials [54,55] can be also employed to realize compact and ultrabroadband polarization rotators.

    Polarization splitters and rotators (PSRs) combine the functions of polarization splitting and rotating simultaneously. Mode evolution and mode coupling methods can be used to achieve PSRs [45]. For mode-evolution PSRs, adiabatic couplers are used to convert the TM polarization to TE high-order mode; then, mode converters or (de)multiplexers are utilized to couple TE high-order mode to the TE fundamental mode [56,57]. Highly efficient TM0TE1 conversion is enabled by the mode hybridization region in the multimode waveguide. Then the TE1 mode is converted to the TE0 mode by ADC structure as depicted in Fig. 2(c). The fabricated PSR exhibits an ER of >30  dB and a loss of <0.57  dB. For mode coupling PSRs, the vertical and horizontal symmetries of the waveguides are broken; thus, the coupling exists between two orthogonal polarizations with equal effective indices. One method to break the vertical symmetry is to use air as a top-cladding material. ADC structure with air cladding is utilized to achieve a PSR with a loss of <0.6  dB and a coupling length of 36.8 μm [58]. Tapered ADC with a length of 140 μm is used to achieve a fabrication-tolerant PSR [59]. Furthermore, bent ADC with stronger birefringence is employed as a PSR with a much shorter length of 8.77 μm [60]. Asymmetrical waveguide cross section by multistep etch is another option to break the vertical symmetry. A double-etched ADC is employed to realize a silicon PSR with a length of 27 μm and an ER of 20 dB [61]. A fabrication-tolerant and broadband PSR is demonstrated using a linearly tapered ADC with a length of 700 μm [62]. To shrink the device size, a nonlinearly tapered double-etched ADC is utilized to realize a PSR with a length of 129 μm and high fabrication tolerance as shown in Fig. 2(d). Other structures can be also used to achieve silicon PSRs, such as MZI [63], Y branch [57], and S-bend [64].

    Table 2 shows the performance of reported polarization management devices.

    State-of-the-Art Polarization Management Devices

    ReferenceTypeLoss (dB)XT (dB)BW (nm)
    [36]Grating-assisted contra directional couplers13020 (XT>30  dB)
    [38]Multimode waveguide0.5730.8285 (XT>20  dB)
    [34]Symmetrical DC<0.515C-band (XT>15  dB)
    [35]Asymmetric DC<130100 (XT>25  dB)
    [40]Cascaded bent DC<0.353570 (XT>30  dB)
    [41]Hetero-anisotropic metamaterials<1>20200 (XT>20  dB)
    [42]Pixelated DC1.5314.2253 (XT>14  dB)
    [46]Si3N4-assisted mode evolution13060 (XT>30  dB)
    [51]Mode-hybridization21740 (XT>17  dB)
    [58]ADC0.612C-band (XT>12  dB)
    [60]Bent DC<11870 (XT>18  dB)
    [61]Double-etched DC0.52030 (XT>20  dB)

    3. Mode Multiplexing Devices

    Orthogonal modes supported by silicon multimode waveguides can carry different optical signals and propagate independently in the waveguides, which offer another dimension to increase the multiplexing channel numbers and the total communication capacity. Mode (de)multiplexers are one critical building block in mode-division multiplexing (MDM) system, and they are capable of multiplexing the optical signals into different orthogonal waveguide modes. Lots of structures have been proposed to realize silicon mode multiplexers, such as MMIs [65,66], Y junctions [67,68], ADCs [69,70], plasmonic waveguides [71], and inverse design waveguides [72].

    A silicon two-mode (de)multiplexer is proposed based on two MMIs and a butterfly-shape tapered phase shifter in theory [73]. An MMI-based mode (de)multiplexer is experimentally demonstrated with a loss of <0.36  dB, cross talk of <24.4  dB, and a size of 3.8  μm×160  μm for TE0 and TE1 mode multiplexing [74]. Good fabrication tolerances are verified for MMI-based devices, while the devices exhibit relatively large footprint and poor scalability. Recently, a silicon three-mode multiplexer based on a shallow-etched MMI is presented based on the two-step mode conversion process in the MMI region as shown in Fig. 3(a) [75]. Higher-order mode multiplexers can be further scaled using a multistep mode conversion in the MMI structure.

    (a) SEM photo of a three-mode multiplexer based on a shallow-etched MMI [75]; (b) illustration of mode multiplexer using asymmetric Y junctions [68]; (c) micrographs of a 10-channel mode multiplexer based on ADC structures [70]; (d) photos of a 16-channel mode (de)multiplexer (TE0−TE15) using gradient-duty-cycle SWGs [76].

    Figure 3.(a) SEM photo of a three-mode multiplexer based on a shallow-etched MMI [75]; (b) illustration of mode multiplexer using asymmetric Y junctions [68]; (c) micrographs of a 10-channel mode multiplexer based on ADC structures [70]; (d) photos of a 16-channel mode (de)multiplexer (TE0TE15) using gradient-duty-cycle SWGs [76].

    Asymmetric few-mode and multimode weakly guiding Y junctions have been proposed to be suitable for mode sorting [77]. A silicon two-mode multiplexer is experimentally reported with a loss of <1.5  dB and a length of 1.2 mm based on asymmetric Y junctions as shown in Fig. 3(b) [68]. Furthermore, an MDM on-chip link with bit rate of 20 Gb/s is demonstrated using the devices [78]. Small branching angle is needed to satisfy adiabatic evolution, leading to very long length (hundreds of micrometers) of the Y junctions. A short and broadband asymmetric Y-junction-based two-mode multiplexer is theoretically proposed using fast quasi-adiabatic dynamics. A mode multiplexer is obtained with a length of 16 μm and cross talk of <34  dB [79].

    ADC is the most popular structure to realize on-chip mode multiplexing due to the advantages of compact footprint, good scalability, and easy design. The fundamental mode in an access waveguide is coupled into a bus waveguide as a high-order mode; 4-channel [69], 8-channel [80,81], and 10-channel [70] mode (de)multiplexers are implemented using this structure as shown in Fig. 3(c). Due to the strong waveguide dispersion, the bandwidth of the ADC-based mode multiplexers is limited. Tapered ADCs can be utilized to broaden the bandwidth. A three-mode multiplexer is demonstrated with a 1 dB bandwidth of 180 nm using counter-tapered couplers [82]. The fundamental mode in the access waveguide and the high-order mode in the bus waveguide exhibit significantly different dependences of the effective indices on the waveguide widths, leading to a fragile phase-matching condition for mode coupling. SWG waveguides are utilized to tailor the dispersion curves of the waveguide modes, resulting in robust high-order mode multiplexers. 11-mode multiplexing on a TE-polarized light (TE0TE10) is experimentally demonstrated with losses of <2.6  dB and cross talk of 15.4  dB. More recently, a 16-channel mode (de)multiplexer (TE0TE15) was reported using gradient-duty-cycle SWGs as shown in Fig. 3(d) [76]. The device is characterized with 16-channel 112 Gb/s 16-ary quadrature amplitude modulation (16-QAM) signals to achieve a 1.51 Tb/s/polarization/wavelength capacity at 1550 nm.

    The inverse design method is applied to further minimize the size of the mode multiplexing devices [83]. Ultracompact three-mode multiplexers, multimode bending, and crossings are implemented based on the optimized discretized metastructure [72]. 112 Gb/s signals encoded on each mode are successfully transmitted on the devices.

    Table 3 compares various state-of-the-art silicon mode multiplexing devices.

    State-of-the-Art Mode Multiplexing Devices

    ReferenceTypeLoss (dB)XT (dB)BW (nm)Channels
    [65]MMIs<128C-band (XT>28  dB)3
    [68]Y junctions1.530C-band (XT>30  dB)2
    [70]ADCs1.81590 (XT>15  dB)10
    [71]Plasmonic waveguide0.3517100 (XT>17  dB)2
    [72]Inverse design waveguide82080 (XT>20  dB)3
    [73]MMIs and tapered phase shifter13760 (XT>37  dB)3
    [74]MMIs0.3624.460 (XT>20  dB)3
    [75]Shallow-etched MMI2.41070 (XT>10  dB)3
    [76]SWGs0.8–5.29.2–24/16
    [79]Asymmetric Y junction/34300 (XT>34  dB)2
    [82]Counter-tapered couplers<0.7410100 (XT>10  dB)2

    In terms of insertion loss, cross talk, footprint, and multiplexing channels, significant progress has been made for on-chip multiplexing devices, including wavelength-, polarization-, and mode-multiplexing devices. Multiple physical dimensions can be combined to further increase the capacity. Many interesting works have been reported to build on-chip multidimensional multiplexing and switching devices [8486]. The relatively large cross talk between channels is an important issue, especially for multidimensional multiplexing with lots of channels. A low-coherence matched detection method may be a possible approach to ease the cross talk requirement [87]. The capacity of an optical communication system depends on the number of available orthogonal channels in the physical dimensions. A larger capacity can be enabled by more wavelength/mode channels. Wavelength-division multiplexing can provide tens of data channels employing AWG or cascaded MRR structures. High cross talk is challenging in silicon AWG (XT>4  dB in a 25-GHz AWG) due to the phase errors in the silicon nanowire waveguide introduced in the fabrication. Since the MRR resonance is sensitive to fabrication errors and temperature variations, wavelength misalignment between channels is a critical issue for the cascaded MRR scheme. The wavelength locking method can be utilized to align the wavelengths, at the cost of the device complexity. Current state-of-the-art mode-division multiplexing technologies have achieved 16 single-polarization modes on silicon chips, enabling a 1.51 Tb/s/polarization/wavelength capacity at 1550 nm. Since the coupling between high-order modes is sensitive to the waveguide dimensions, suppressing the modal cross talk is a vital task in the future.

    Another concerning is high-efficiency coupling between the high-order modes of the rectangle waveguides and the LP modes of the few-mode fibers [88]. Due to the large phase mismatch between the waveguide modes and the LP modes, the implementation of the multimode coupler is challenging. Many efforts have been made to achieve a multimode coupler employing grating couplers [89] or edge couplers [90]. Edge couplers for few-mode fiber coupling are theoretically proposed to couple four silicon waveguide modes of TE0, TM0, TE1, TM1 [91] and six waveguide modes of TE11, TE21, TE31, TE41, TM11, TM12 [90] to linearly polarized (LP) modes in the few-mode fiber, respectively. Direct coupling between higher-order modes in a few-mode fiber and modes in a silicon waveguide is demonstrated experimentally using nonlinear 3D adiabatic tapering to ensure one-to-one modal coupling [92]. The nonlinear tapering enables conversion between four silicon TE modes to larger rectangular modes in an SU-8 polymer waveguide, followed by the conversion between the larger modes in the rectangular waveguide to the circular modes in the few-mode fiber. A silicon edge coupler consisting of a 1×3 symmetrical MMI and a triple-tip inverse taper is demonstrated to achieve dual-mode fiber-to-chip coupling [93]. LP01 and LP11 modes are launched by the edge coupler with low loss and low cross talk over 90 nm bandwidth. 2×100  Gb/s/wavelength four-pulse amplitude modulation (PAM-4) mode-division multiplexing transmission is also demonstrated over 40 m dual-mode fiber. A subwavelength waveguide grating coupler is proposed and demonstrated for two polarizations of the LP01 mode and the LP11 mode with coupling efficiencies of 4.9  dB and 6.1  dB, which are optimized using the genetic optimization algorithm [89]. Table 4 compares various state-of-the-art couplers for few-mode fiber coupling. Higher coupling efficiency and good scalability to support more mode channels are the issues that need to be addressed.

    State-of-the-Art Couplers for Few-Mode Fiber Coupling

    ReferenceTypeLoss (dB)XT (dB)Channels
    [90]SiN-waveguide-assisted edge couplera<0.5236
    [93]Triple-tip inverse taper13.27.32
    [91]Mode-evolution counter-tapersa5.1254
    [92]SU8-waveguide-assisted edge coupler5/4
    [89]Subwavelength grating coupler6.1/4

    Simulation results; XT, cross talk.

    B. Modulators

    As one of the most essential components, high-performance optical modulators converting electrical signal to optical signal are highly desired. For the unique characters of high integration, low cost, and CMOS ability, silicon photonics is considered to be one of the best candidates to achieve high-performance optical devices, and the topic has been intensively researched [2]. However, due to its centrosymmetric crystal lattice, silicon has almost no linear electro-optic effect (i.e., Pockels effect) [94]. To realize modulation based on silicon, the thermal effect and the plasma dispersion effect are investigated [9]. Since the large response time of thermal effect [limiting the response frequency smaller than megahertz (MHz)] [95], most high-speed silicon modulators are demonstrated based on the plasma dispersion effect. Plasma dispersion is an electro-refractive effect, depending on the change of free carrier concentration in the region occupied by the optical mode of the waveguide. There are three mechanisms to introduce change of the free carrier concentration in the silicon modulator: (a) carrier injection; (b) carrier accumulation; (c) carrier depletion [96].

    Silicon modulators based on carrier injection provide a largest change of the free carrier concentration with the same driving voltage [9799]. However, suffering from the long free-carrier recombination time and the large resistance, the bandwidth of carrier injection modulators is limited to be about gigahertz (GHz) [100]. To extend the bandwidth of the carrier injection modulator, the passive RC equalizer is utilized by inserting an RECE filter [101,102]. Through this approach, a high-bandwidth carrier injection modulator is demonstrated with the EO bandwidth of 42.6 GHz, supporting 70 Gbaud PAM-4 operation [103]. For the carrier accumulation modulators, a thin insulating oxide gate [several nanometers (nm)] should be inserted between the n-type and the p-type silicon. Generally, due to the fabrication difficulty of the lateral carrier accumulation phase shifter, the vertical carrier accumulation phase shifters are more attractive [104,105]. The high carrier concentration on each side of the oxide gate provides the high modulation efficiency. A 28 Gb/s vertical carrier accumulation modulator is proposed with the Vπ·L of <0.2  V·cm, allowing the phase shifter to be directly driven by CMOS driver circuit [106,107]. Recently, with the improvement of fabrication process, horizontal carrier accumulation modulators are fabricated by depositing amorphous Si into silicon trenches [108,109]. The EO bandwidth of 35 GHz and Vπ·L of 1.8  V·cm (due to the large oxide gate of 40 nm) are demonstrated, enabling 72 Gb/s modulation [110].

    Carrier depletion modulators featuring low optical loss, ease of fabrication, and large EO bandwidth are the most widely adopted scheme [111,112]. However, they exhibit a low modulation efficiency due to the limited capacitance [113]. In order to improve the modulation efficiency and optimize modulator speed, various configurations of PN junctions, including vertical PN junction [114], L-shaped PN junction [115], U-shaped PN junction [116], and interleaved PN junction [117], are proposed to enhance the interaction between the electrical field and the optical mode field as shown in Fig. 4. Vertical PN junction has advantages in providing high overlap between the optical mode and the depletion region, and the high modulation efficiency of 30 GHz/V has been demonstrated with the data rate of 25 Gb/s [118]. Reducing optical loss is another important orientation. Lateral PN junction with doping compensation is utilized to decrease the optical loss while maintaining the modulation efficiency, and the measured bandwidth can be improved from 10 to 17 GHz [119].

    Various configurations of carrier depletion PN junctions. (a) Lateral PN junction, (b) vertical PN junction, (c) L-shaped PN junction, (d) U-shaped PN junction, (e) interleaved PN junction, and (f) lateral PN junction with doping compensation.

    Figure 4.Various configurations of carrier depletion PN junctions. (a) Lateral PN junction, (b) vertical PN junction, (c) L-shaped PN junction, (d) U-shaped PN junction, (e) interleaved PN junction, and (f) lateral PN junction with doping compensation.

    To translate phase modulation into intensity modulation, modulators are usually designed based on MZIs and resonant cavities (MRR, microdisk, photonic crystal cavity) as shown in Fig. 5.

    Schematic of silicon modulator. (a) MZM, (b) MRR modulator.

    Figure 5.Schematic of silicon modulator. (a) MZM, (b) MRR modulator.

    Figure 5(a) shows the schematic of the Mach–Zehnder modulator (MZM). Since the low modulation efficiency of the carrier depletion effect, to achieve sufficient modulation depth, the length of the modulator should be about several millimeters (mm). To reduce the size of the modulator, the literature reports some smart design strategies. For example, a slow-light waveguide [120122] enables us to increase the electrical-optical interaction time, resulting in high modulation efficiency. With slow-light waveguide length of 500 μm, a silicon modulator with EO bandwidth of 30 GHz, Vπ·L of 0.85  V·cm, and 112 Gb/s PAM-4 modulation is demonstrated [120]. On the other hand, the EO bandwidth can be much extended by reducing the short modulation length. EO bandwidth of 110 GHz is demonstrated based on an ultracompact silicon slow light modulator with modulation length of 124 μm [122]. The input light can be modulated twice in the Michelson interferometer modulators [123125] and doubles the effective modulation length. High modulation efficiency with the Vπ·L of 0.72  V·cm is provided by a Michelson interferometer modulator with the modulation data rate of 40 Gb/s [123]. However, the EO bandwidth for slow-light waveguide modulators and Michelson interferometer modulators is limited due to the velocity mismatch between the optical signal and the electrical signal.

    To improve the EO bandwidth, the traveling-wave electrode (TWE) is commonly utilized to drive the carrier depletion modulator. The EO bandwidth of the TWE modulators is affected by (i) the velocity mismatch between the propagation speed of the optical signal in the waveguide and the electrical signal in the TWE; (ii) the impedance mismatch of the TWE to the impedance of the driver and the terminator; and (iii) the microwave attenuation resulting from the TWE and the PN junction. Researchers have made many efforts to investigate the electrical model of the TWE modulator to improve the EO bandwidth [126128]. By introducing the optical delay loops, or slow-wave electrode, the velocity matching can be achieved. An open eye diagram is observed up to bitrate of 70 Gb/s with a slow-wave series TWE modulator [129]. The impedance matching is realized by carefully designing the structure of the TWE, and the modulation speed even at 2 μm wave band can reach 80 Gb/s with PAM-4 formats [130]. A small resistance of the terminator is beneficial for improving the EO bandwidth of the MZM, by suppressing the modulation depth at low frequency instead of enhancing that at high frequency [127]. A high EO bandwidth of approximately 47 GHz is experimentally demonstrated by peaking the frequency response through implementing a 35 Ω on-chip terminator to the TWE with the characteristic impedance of 50 Ω, allowing 220  Gb/s/λ net rate operation [131].

    The microwave attenuation is partly coming from the capacitance of the PN junction, which means that the EO bandwidth of the MZM can be improved by lowering the doping concentration [132], reducing the cross section of the PN junction, or utilizing push-pull structure [133]. Lowering the doping concentration or reducing the cross section of the PN junction will decrease the modulation efficiency, so the designers should trade off these two conflicts. Since the two PN junctions are connected in series, the loaded capacitance will be half of single PN junction capacitance for push-pull modulators [134]. From another perspective, the substrate silicon of the device will also introduce microwave loss, and it can be reduced by substrate removal [135] as shown in Fig. 6(a). Through this method, the modulator with the EO bandwidth of beyond 50 GHz is achieved, enabling 90 Gbaud on-off keying (OOK) and 128 Gb/s PAM-4 signal transmission [136]. The synergistically designed electrical CMOS driver is utilized to solve the bandwidth limitation of silicon modulators, and 100 Gb/s OOK is experimentally demonstrated without using any equalization with the power consumption of 2.03 pJ/bit for the driver [137] as shown in Fig. 6(b).

    (a) High-bandwidth MZM with substrate removed [135], (b) electronic–photonic synergistically designed silicon photonics transmitters [137], (c) lump-segmented silicon transmitter with six lumped phase shifters [138].

    Figure 6.(a) High-bandwidth MZM with substrate removed [135], (b) electronic–photonic synergistically designed silicon photonics transmitters [137], (c) lump-segmented silicon transmitter with six lumped phase shifters [138].

    By carefully controlling the propagation delay difference between the optical and electrical path and lowering the power consumption of each driver, modulators based on segmented electrodes [139] will be another efficient approach to reduce the microwave attenuation due to the long TWE. The driving voltage is maintained all the same along the segmented electrode, which can be modeled as a lumped model [139]. A lump-segmented silicon transmitter with the data rate of 50 Gb/s PAM-4 is proposed by integrating six lumped phase shifters driven by six drivers [138] as shown in Fig. 6(c).

    Silicon modulators based on resonant cavity have the advantages of low optical loss, low driving voltage, and small footprint, which are crucial for high-density optical interconnect, particularly co-packaged optics (CPO) [140]. For the simple structure, microring modulators (MRMs) are widely investigated for resonant cavity modulators [141143] as shown in Fig. 5(b).

    To extend the EO bandwidth further, the optical peaking enhancement (OPE) [144] caused by the intrinsic time dynamics is investigated. Assisted with OPE, the MRM with the EO bandwidth of 50 GHz is achieved with Vπ·L of 0.52  V·cm, supporting 128 Gb/s PAM-4 modulation [112]. With the similar design, the transmission speed is doubled through a polarization demultiplexer to 260  Gb/s/λ [145]. By optimizing the doping concentration of the PN junction, the MRM with the EO bandwidth larger than 67 GHz is demonstrated, enabling 120 Gb/s none return to zero (NRZ) and 200 Gb/s PAM-4 transmission [146]. The highest baud rate of 128 Gbaud NRZ is proposed based on the MRM with the EO bandwidth of 77 GHz and Vπ·L of 0.53  V·cm [147] as shown in Fig. 7(a). The smallest MRM based on carrier accumulation with the radius of 1.5 μm, the modulation efficiency of 30 GHz/V, and 10 Gb/s NRZ modulation is fabricated in a 45 nm monolithic CMOS electronic-photonic process [150]. The MRM can also be utilized for advanced modulation formats [151,152], and 56 Gb/s QPSK modulation is demonstrated [153].

    The cascaded MRMs either in series [148,154] or in parallel [155] operating with push-pull configuration are also proposed to enhance the modulation depth and suppress the frequency chirp. Based on the push-pull dual-ring modulator, the maximum optical modulation amplitude (OMA) is improved about 100% @ 10 Gb/s and 81% @ 20 Gb/s compared to that of the single MRM [148]. A low-chirp high-speed push-pull dual-ring modulator has been experimentally demonstrated with the EO bandwidth of 42 GHz and 144 Gb/s PAM-4 data transmission [154]. Due to the natural advantage of the MRMs, only modulating the particular wavelength, they are quite suitable for WDM systems [156]. An all-silicon MRM-based WDM transceiver is proposed with 50  Gb/s/λ at low BER (<1012) [157].

    (a) High speed MRM for next generation energy-efficient optical networks beyond 100 Gbaud [147], (b) push-pull silicon dual-ring modulator with enhanced optical modulation amplitude [148], (c) 4×40 Gb/s O-band WDM silicon photonic transmitter based on MRMs [149].

    Figure 7.(a) High speed MRM for next generation energy-efficient optical networks beyond 100 Gbaud [147], (b) push-pull silicon dual-ring modulator with enhanced optical modulation amplitude [148], (c) 4×40  Gb/s O-band WDM silicon photonic transmitter based on MRMs [149].

    For their ultracompact footprint, photonic crystal cavity modulators are studied and experimentally demonstrated. Due to the small active region, the modulation depth for photonic crystal cavity modulator is limited. Thus, the early demonstrations of photonic crystal cavity modulators are proposed based on carrier injection with high modulation efficiency [158]. The PIN photonic crystal cavity modulator with the EO bandwidth of 1.3 GHz is demonstrated, operating at 3 Gb/s NRZ modulation with the charging energy less than 1 aJ/bit [159]. Recently, to extend the EO bandwidth, photonic crystal cavity modulators relying on carrier depletion are proposed. A side-coupled photonic crystal cavity modulator with the EO bandwidth of 2.8 GHz (limited by the large resistance) and 5 Gb/s modulation is presented [160]. The highest speed photonic crystal cavity modulator is experimentally demonstrated with the EO bandwidth of 38.6 GHz and modulation data rate of 70 Gb/s [161].

    The resonant wavelength of the resonant cavity modulators is sensitive to the fabrication error or temperature variation. To solve this problem, integrated heater and thermal control circuit should be implemented, which will increase the design difficulty and power consumption [162]. Passive temperature compensating maintains the resonant wavelength with temperature variation [163], while the mode field distribution should be carefully designed.

    Nowadays, digital signal processing (DSP) is extensively studied to realize high-speed modulation. With post-filter and maximum likelihood sequence detection (MLSD), single-lane bit rate of 200 Gb/s (80 Gbaud) PAM-6 is achieved based on the MZM with EO bandwidth of 22.5 GHz [164]. By optical pre-emphasis filter and nonlinear digital pre-compensation, modulation data rate up to 1 Tb/s with dual-polarization higher-order 32-QAM is demonstrated [165]. Just utilizing linear digital compensation in both the transmitter and the receiver sides, 120 Gbaud QPSK and 100 Gbaud 32-QAM operations are experimentally demonstrated based on a silicon in-phase and quadrature (IQ) modulator [166]. The highest modulation with the net rate of 305 Gb/s is demonstrated, based on the TWE modulator with the EO bandwidth of 47 GHz [167]. For MRM, through compensating the nonlinearity, 302 Gb/s optical interconnection [168] is experimentally demonstrated, which is the highest data rate ever reported for MRM. Table 5 shows the state-of-the-art silicon modulators, including MZMs and MRMs.

    State-of-the-Art Silicon Modulators

    ReferenceTypeBW (GHz)Vπ·L  (V·cm)IL (dB)Length (mm)Data Rate (Gb/s)
    [103]MZM42.62.09/0.5140
    [131]MZM471.355.42.5225
    [136]MZM>501.45.42128
    [137]MZM/1.56.92.47100
    [167]MZM471.45.42.5305
    [166]IQ-MZM281.25.62500
    [112]MRM500.52/0.01b128
    [146]MRM>670.8/0.008b200
    [147]MRM770.53/0.006b192
    [154]Push-pull MRM42/14a0.015b144
    [168]MRM>670.8/0.008b302
    [169]PDM-MRM///0.005b256
    [145]PDM-MRM//10.01b260
    [161]PhC modulator38.6//0.01670

    Total loss, including ∼8 dB for grating couplers.

    The radius of the MRM.

    Over recent year, many efforts have been made to improve the overall performance of silicon modulators, including the PN junction optimization [114119] and the design of the TWE [126131]. The performance of silicon modulator itself is well explored. For high-capacity data communications, reducing the size of the silicon modulators is of great importance, which means that silicon MRMs [141152] will be one of the best approaches. The resonant wavelength control of the MRMs will be the research focus. On the other hand, the electronic-photonic co-design [137] can combine the advantages of electronics and photonics, and it will be an efficient approach to solve the bandwidth limitation of the silicon modulators and to reduce the power consumption. DSP [164168,170] is a promising solution to enhance the system performance and to enable the high-capacity data communications.

    Recently, lithium niobate thin film on insulator (LNOI) has emerged as a promising platform to form waveguide devices with good confinement [171], and LNOI modulators with a low drive voltage and ultrahigh bandwidth have been demonstrated [172174]. An alternative approach, hybrid integration of LN film onto silicon, has also attracted considerable interest. The hybrid LN/Si material system is able to combine the scalability of silicon photonics with the excellent modulation performance of LN. A few demonstrations of hybrid Si/LN optical modulators have been reported [175,176], which rely on a supermode waveguide structure consisting of an unpatterned LN film on top of a silicon waveguide. This structure is designed to support a distributed optical mode that resides in both the LN and the underlying silicon waveguide, which means only part of the modal power overlaps with the LN region, which compromises the modulation efficiency. As the hybrid LN/Si modulators are based on the electro-optic (Pockels) effect of LN material itself, they can achieve lower insertion loss and higher linearity compared to silicon modulators with P/N doping or other highly absorptive materials. However, the electro-optic coefficient of LN is relatively low (γ3330  pm/V), and thus the phase shifter length or Vπ·L is quite a bit larger.

    Hybrid LN/Si MZMs that employ two layers of hybrid integrated waveguides and vertical adiabatic couplers (VACs) have been reported (Fig. 8) [177], in which the VACs transfer the optical power fully between the silicon waveguide and LN film waveguide. The integration of LN with silicon is carried out with benzocyclobutene (BCB) bonding. The presented device exhibits an insertion loss of 2.5 dB, voltage–length product Vπ·L of 2.2  V·cm in single-drive push–pull operation, high linearity, electro-optic bandwidth of at least 70 GHz, and modulation rates up to 112 Gb/s.

    Structure of the hybrid Si/LN MZM. (a) Schematic of the structure of the whole circuit; (b) schematic of the cross section of the hybrid waveguide; (c) SEM image of the cross section of the LN waveguide; (d) SEM image of the metal electrodes and the optical waveguide; (e) schematic of the VAC; (f) SEM images of the cross sections of the VAC at different positions (A, B, C) and calculated mode distributions associated with the cross sections.

    Figure 8.Structure of the hybrid Si/LN MZM. (a) Schematic of the structure of the whole circuit; (b) schematic of the cross section of the hybrid waveguide; (c) SEM image of the cross section of the LN waveguide; (d) SEM image of the metal electrodes and the optical waveguide; (e) schematic of the VAC; (f) SEM images of the cross sections of the VAC at different positions (A, B, C) and calculated mode distributions associated with the cross sections.

    C. Hybrid Integrated Lasers

    Heterogeneous integration uses a non-Si, unprocessed thin film material bonded onto a Si substrate with a coarse alignment and then defines devices lithographically on the Si wafer scale. By eliminating the requirements of active alignments between integrated elements, the alignment tolerances are significantly reduced. In addition to the efficient volume scaling and reduced cost due to economy of scale, this technique also accommodates different materials and thus different functionalities to different areas of a Si chip, including not only III–V materials that provide gain, detection, and modulation, but also more exotic materials such as LiNbO3 [176] and Ce:YIG [178], which promise high performance modulation, nonlinearities, and magnetic properties.

    There has been a lot of progress of heterogeneous Si photonic devices and integrated circuits recently. The complexity of heterogeneous devices has rapidly grown to rival that of PICs on native substrates, with over 5000 components on a single waveguide in recent results [179]. Since the first commercial products announced in 2016, Intel’s market share of Si photonics had increased to more than 50% within just two years [180]. A 23,500 h reliability test at 80°C shows that less than 10% drift of the bias current was required to maintain a constant 10 mW output power for 30 randomly chosen lasers stressed at twice the specified product operation currents [181]. Parallel to the tremendous success in commercialization, primarily in data centers and high-performance computing, R&D continues to thrive on expanding the application scenarios to quantum information processing [182], photonic neural networks [183], and sensors for life sciences, gyroscopes, LiDAR, and so on [184]. Comprehensive reviews of the state-of-the-art industrial development, scientific achievements, and future perspectives can be found in Refs. [185191]. In what follows, we will be interested primarily in recent progress in lasers, where the synergistic relationship between the III–V and Si through heterogeneous integration gives rise to performance far exceeding what is achievable purely with III–V and Si. This will be analyzed from the perspective of the laser passive cavities and the gain materials, respectively.

    In terms of the laser passive cavities, heterogeneous integration offers almost a complete suite of passive photonic devices with a versatile selection of waveguides, including not only Si and its oxide, which forms high index contrast, high-confinement waveguides ideally suited for medium to high-integration, but also extended versions of platforms based on SiN, SiON, and so on. Compared to >1  dB/cm waveguide loss in III–V waveguides, Si waveguide loss is in the decibel per centimeter (dB/cm) scale and can be reduced to as low as 0.05 dB/cm through photoresist reflow treatment, shallow etched geometry, optimized etch chemistry, and so on [192]. SiN-based waveguides have even lower waveguide loss, down to 0.001 dB/cm [193], with added benefits of a wide transparency from the visible to the infrared, a low thermo-optic coefficient, and the absence of nonlinear absorption loss.

    These ultralow-loss waveguides can form high-Q passive cavity architectures, which not only facilitates tunable, narrowband filtering, but also enables significant reduction in the quantum-limited white frequency noise that pushes narrow-linewidth device performance well beyond the abilities of their monolithic counterparts. For a solitary distributed feedback (DFB) laser design with an embedded spacer layer for spontaneous emission control [Fig. 9(a)], and a Lorentzian linewidth of 1.1  kHz has been demonstrated [194]. For a coupled-triple ring structure as the back mirror [Fig. 9(b)], Lorentzian linewidth of 220 Hz was achieved with a 110 nm wide wavelength tuning [195]. For a 20 mm long on-chip SiN spiral-shaped distributed Bragg reflector (DBR) as the back mirror [Fig. 9(c)], a Lorentzian linewidth of 4 kHz was measured, with a highly thermally stable wavelength of 10.46 pm/°C, which is more than 7 times smaller than that of a typical one with Si-based mirrors [196]. Besides laser linewidth, operating wavelengths, and reliability, the output power is also a critical issue when a large number of channels are employed to boost the data capacity. For these applications, grating-based III–V/Si lasers offer excellent performance. By engineering the grating structure on the Si rib waveguide, the grating κ can be kept small to lower the grating κLg value and consequently a narrow filter bandwidth for single-mode selectivity. A maximum output power of over 20 mW can thus be obtained in a 1.2 mm long InP/Si DFB laser [186] and a maximum output power of over 30 mW can be achieved in an InP/Si extended DBR laser [197]. These versatile external cavities provided by heterogeneous integration offer different laser operations and more potential for laser performance engineering.

    (a) Cross section (upper) and transverse field profile (lower) of a heterogeneous DFB laser design with an embedded spacer layer [194]. (b) Triple-ring mirror based tunable laser [195]: schematic illustration, SEM image of a Si/III–V taper, coarse tuning spectra showing the tuning range of 110 nm. (c) III–V/Si/SiN4 laser with SiN-based spiral [196]: schematic illustration, cross-section SEM image of the InP/Si gain, comparison of temperature-dependent wavelength shift of the III–V/Si/SiN laser and of a typical one with Si-based mirror.

    Figure 9.(a) Cross section (upper) and transverse field profile (lower) of a heterogeneous DFB laser design with an embedded spacer layer [194]. (b) Triple-ring mirror based tunable laser [195]: schematic illustration, SEM image of a Si/III–V taper, coarse tuning spectra showing the tuning range of 110 nm. (c) IIIV/Si/SiN4 laser with SiN-based spiral [196]: schematic illustration, cross-section SEM image of the InP/Si gain, comparison of temperature-dependent wavelength shift of the III–V/Si/SiN laser and of a typical one with Si-based mirror.

    In terms of the gain materials, heterogeneous integration allows the versatile selection of III–V thin films with different bandgap/epitaxial structure tailored for different spectral coverage beyond the 1310 and 1550 nm telecom windows [Fig. 10(a)]. Combined with the appropriate transparent passive waveguiding materials, the shortest wavelength (900 nm) heterogeneous lasers using GaAs-based gain medium have been coupled to SiN waveguides with very high device uniformity in a wafer-scale process [198], and the longest wavelength (4.8 μm) heterogeneous lasers were demonstrated by combining a quantum cascade laser (QCL) gain medium with a special Si-on-nitride-on-insulator substrate [199]. These demonstrations prove that with a similar optical refractive index between the gain materials and waveguide materials, efficient evanescent coupling between the active and passive sections can be an exercise in engineering, and lessons can be leveraged for other spectrum applications. For example, InGaN-based gain materials can be integrated with TiO2 or SiN waveguides on Si for visible applications [201], and GaSb-based interband cascade lasers (ICLs) can be combined with Ge-on-Si waveguides for mid-IR applications [202].

    (a) Heterogeneous integration allows spectral coverage beyond the 1310 and 1550 nm telecom windows, with the shortest wavelength being 900 nm [198] and the longest wavelength being 4800 nm [199]. (b) Heterogeneous QD laser: schematic image (left) and simulated cross-sectional fundamental transverse-electric (TE) mode electrical field distributions (right). (c) Frequency noise spectrum of a heterogeneous QD laser showing that Lorentzian linewidth of 26 kHz is achieved [200].

    Figure 10.(a) Heterogeneous integration allows spectral coverage beyond the 1310 and 1550 nm telecom windows, with the shortest wavelength being 900 nm [198] and the longest wavelength being 4800 nm [199]. (b) Heterogeneous QD laser: schematic image (left) and simulated cross-sectional fundamental transverse-electric (TE) mode electrical field distributions (right). (c) Frequency noise spectrum of a heterogeneous QD laser showing that Lorentzian linewidth of 26 kHz is achieved [200].

    The versatile selection of gain materials also allows the flexible selection of optical materials for the best achievable on-chip performance. Quantum dot (QD) gain medium has multiple favorable material properties including large tolerance to material defects, reduced reflection sensitivity, nearly zero linewidth enhancement factor, low transparency current density, and high temperature operation [203207]. Recent advances in monolithic QD lasers have spurred intense interest in transitioning the active material from quantum wells (QWs) to QDs in heterogeneous lasers as well. Device design and process optimization have been actively conducted to replace InP-based QW epitaxial material with GaAs-based QD epitaxial material [Fig. 10(b)]. Since the first demonstration in 2016 [208], great strides have been made in heterogeneous QD lasers within a short timeframe. Up to 100°C lasing in the CW mode [209], a threshold current density down to 134  A/cm2 [210], an side-mode suppression ratio (SMSR) of up to 61 dB [210], a Lorentzian linewidth of 26 kHz [200], a 3 dB modulation bandwidth of 13 GHz [200], and a tuning range of 52 nm [211] have been demonstrated. It is foreseeable that heterogeneous QD lasers could quickly challenge the state-of-the-art heterogeneous QW lasers as the field matures, analogue to the evolution in monolithic lasers.

    This would not only benefit the thermoelectric cooling and laser drive currents that alone themselves can make a substantial impact on the overall energy efficiency. One particular source of interest in using QDs in the heterogeneous integration is the reduced linewidth enhancement factor (α-factor), which is a key to spectral linewidth, the modulation-induced chirp, and the sensitivity to optical injection or optical feedback [212]. With an α-factor in the range of 2–6, traditional QW DFB or DBR lasers typically have linewidths on the order of a few MHz. While this value could be narrowed down by utilizing an external cavity, which could take the form of extended grating-based external cavities, ring-resonator-based external cavities and self-injection locking with ultrahigh Q, etc., as discussed previously. The small α-factor could further lower the laser linewidth to a next level, even without the complexity of accessory device design.

    By simply engineering the Si grating designs in heterogeneously integrated QD DFB lasers, a Lorentzian linewidth of 211 kHz is achieved based on a shallow etched first-order grating [210], and a Lorentzian linewidth of 26 kHz is achieved based on a first-order side-hole grating [Fig. 10(c)] [200]. These values not only surpass typical solitary QW laser linewidth of several MHz, but also significantly outperform QD DFB lasers without a Si waveguide, i.e., 480 kHz in Ref. [213] and 1.275 MHz in Ref. [214]. Since linewidth determining parameters of the loaded (external) quality factor and the α-factor can be separately optimized in heterogeneous integration, it is anticipated that a sub-100 Hz linewidth can be achieved when QD materials are coupled with a design of distributed cavity loss of 0.1 dB/cm [185]. In terms of the feedback tolerance, QD lasers exhibit over 100,000 increases in the critical feedback level compared to that of QWs, such that coherence collapse does not occur even with 90% of the light reflected back to the laser [215]. As a proof of demonstration, 25 Gb/s data-links isolator-free modulation with a metal-oxide semiconductor capacitor MRM has been achieved [210]. This isolator-free laser source eliminates the co-packaged/integrated optical isolator while still maintaining the required feedback tolerance, significantly reducing the packaging complexities and enhancing the integration density for future PIC.

    Parallel to the success of heterogeneous integration, monolithic integration through direct growth of the III–V gain material onto Si substrates has been considered as a more economical favorable solution if challenges of the heteroepitaxial growth can be properly managed [203]. In addition to the continuous progress to reduce the dislocation densities as low as 106cm2 [216], switching from the QW active region to QDs provides an even lower barrier to entry for Si photonics through the reduced sensitivity to defects inherent in QD devices [217]. Great strides have been made in individual QD devices grown on Si with various embodiments, compact photonic crystal lasers [218], sub-milliamp threshold MRR lasers [219], coupled cavity tunable lasers [213], high-channel-count mode-locked lasers [220], ultralow dark current photodetectors [221], high-gain and high-saturation output power semiconductor optical amplifiers [222], and so on. Record long lifetime has been achieved at 80°C with minimum degradation after more than 1200 h of constant current stress and an extrapolated lifetime of over 22 years [207]. Several paths have also been explored for active-passive coupling and co-integration of QD lasers to the remaining parts of Si photonics. Using the as-grown III–V layers for the entirety of the PIC with Si serving only as a low-cost substrate is a straightforward approach. Following this direction, regrown QD DFB lasers prove a process-compatible path to spatially combine different bandgaps [223]. Alternatively, growing the III–V gain materials in pockets in a butt-coupled configuration is actively pursued by several groups and could provide the best economy of scale [224,225]. With robust on-chip laser operation and efficient low-loss light coupling to Si photonic circuits, monolithic integration on Si will be very promising for future low-cost mass production.

    D. Silicon-Germanium Photodetectors

    As one of the indispensable components in silicon (Si) photonics, silicon-germanium (Si-Ge) photodetectors (PDs) convert the optical signals to electrical forms. The large optical absorptivity, high carrier mobility, and the potential of low-cost and high-density integration render the Si-Ge PDs promising for large-capacity optical communications and interconnects.

    High-performance Si-Ge PDs can be divided into normal-incidence and waveguide-integrated structures. The former is conducive to optical fiber or free-space optical coupling as shown in Fig. 11(a). However, the input direction of light is parallel to the carrier transit direction, making it difficult to enhance the light absorption and reduce the carrier transition at the same time, as the absorption is proportional to the Ge thickness, while the carrier transition is inversely proportional to it. Thus, waveguide-integrated PDs are introduced to break through the trade-off by uncoupling the light propagation and the photogenerated carrier collection. Two main schemes are adopted to couple the light from the Si waveguide into the Ge absorption region, including butt coupling [Fig. 11(b)] [226] and evanescent coupling [227229]. The butt coupling directly connects the Ge region at the end of the Si waveguide. However, Si corrosion and Ge chemical mechanical polish are required with complex fabrication [230]. The evanescent coupling, with only epitaxial Ge on Si, can be distinguished as (i) bottom-up coupling [227], (ii) top-down coupling [228], and (iii) side-coupling schemes [229], as shown in Figs. 11(c)–11(e), according to the relative location of the Si and Ge. This coupling scheme is more robust by manipulating the light field distribution in the absorption region, and potential better performance can be expected.

    (a) Normal-incidence structure; waveguide-integrated structures: (b) butt-coupling, (c) bottom-up coupling, (d) top-down coupling, (e) side-coupling (top view) schemes.

    Figure 11.(a) Normal-incidence structure; waveguide-integrated structures: (b) butt-coupling, (c) bottom-up coupling, (d) top-down coupling, (e) side-coupling (top view) schemes.

    The positive-intrinsic-negative (PIN) structure is often utilized for waveguide Si-Ge PDs, consisting of an intrinsic Ge absorption region sandwiched between highly doped p-type and n-type regions. According to different doped forms, the PIN PDs can be classified into three types: (i) lateral homojunction [231], (ii) lateral heterojunction [232], and (iii) vertical heterojunction [233] as shown in Fig. 12. The homojunction PD enables bias-free operation without power consumption thanks to the continuous bandgap and strong electric field to collect most of the photogenerated carriers. However, it suffers from poor optical confinement and low responsivity, due to the weak refractive index contrast between the intrinsic and doped regions. The heterogeneous counterparts can better confine the light into the intrinsic region and enhance optical absorption.

    (a) Lateral homojunction, (b) lateral heterojunction, (c) vertical heterojunction PIN PDs.

    Figure 12.(a) Lateral homojunction, (b) lateral heterojunction, (c) vertical heterojunction PIN PDs.

    The waveguide Si-Ge PDs with high responsivity, large bandwidth, and low dark current are highly desired. Yet this is not easy to achieve, limited by the inherent mechanism associated with material defects, structural contradictions, and fabrication complexity. For years, great efforts have been made to solve these issues comprehensively.

    1. Dark Current

    A low dark current is required to reduce the shot noise and improve the sensitivity for the waveguide Si-Ge PDs. Typically, it includes bulk- and surface-leakage components. The former originates from the generation of minority carriers in the depletion region and is enhanced by the threading dislocations due to the well-known 4.2% Ge/Si lattice mismatch, while the latter derives from the generation of the minority carriers in the poor passivated Ge sidewalls [234].

    The bulk-leakage current is proportional to the area of the device. Pioneer works focus on the growth of high-quality Ge epitaxial films on Si to reduce the dislocation and bulk-leakage current density (BLCD), including the schemes of optimized Ge or Si-Ge buffer layer [235], thin buffer layer [236], and direct Ge growth [237]. The typical BLCDs with these methods are in the range of 110  mA/cm2. Subsequently, selective Ge growth for filling submicrometer narrow trenches demonstrated a BLCD of 0.1  mA/cm2 [238]. Osmond et al. achieved a record BLCD of 0.04  mA/cm2 by the low-energy plasma-enhanced chemical vapor deposition (CVD) [239], approaching the BLCD limit of 0.01  mA/cm2 at room temperature.

    For waveguide PDs with a small area with the order of 10  μm×10  μm, the surface-leakage current becomes dominant. High-quality Ge interface passivation is effective to improve it, using silicon dioxide (SiO2) [240] or amorphous Si [241] as the passivation material. Owing to the advanced fabrication and small size, the dark currents of high-performance waveguide Si-Ge PDs have been below 10 nA [242244]. Byrd et al. demonstrated a Si-Ge PD with a best-in-class dark current of 1.6 nA, a responsivity of 1 A/W, and a bandwidth of 40 GHz [242]. When the dark current is near nanoampere (nA) level, the main limitation of the sensitivity of a receiver becomes the noise of the transimpedance amplifier (TIA) with a typical input noise current close to 1 μA [245].

    2. Responsivity

    The responsivity is an indicator to evaluate the photoelectric conversion efficiency of the PD. It is related to the material footprint used to absorb the light. Typically, an order of 10 μm length is needed for sufficient absorption above 90%, realizing a high responsivity above 0.8 A/W and a large bandwidth above 20 GHz [231,246]. The deviation between the practical responsivity and the theoretical limit is mainly due to the undesired optical absorption of the metal contact [247] and the imperfect optical mode confinement in the Ge section [248]. Considering the evanescent wave coupling between Si and Ge, the optical intensity is periodically distributed at the center of the Ge along the light propagation direction. The responsivity can be enhanced by the optimized metal contact location to offset the optical intensity peaks. In this way, Frad et al. demonstrated a responsivity improved from 0.86 to 1.09 A/W at 1550 nm [247]. Yu et al. realized more uniform light distributions in the absorption region [249,250] and demonstrated 50% higher responsivity under high-power illumination [251,252]. In addition, the lateral Si-Ge-Si heterojunction was introduced to enhance the mode field confinement in Ge to achieve a high responsivity of 1.16 A/W [248]. Subsequently, this result was improved to 1.2 A/W [253]. More recently, a sufficiently wide lateral Ge PIN junction and four-port incident structure achieved a responsivity of 1.23 A/W, corresponding to a quantum efficiency of 98% [254]. However, a 17 GHz bandwidth was limited by the longer carrier transit time.

    A shorter Ge length assisted by additional optical resonant structures is further used to improve the responsivity-bandwidth margin. A 5 μm long PD with an optimized distributed Bragg reflector (DBR) showed an improved responsivity from 0.61 to 0.72 A/W and an improved bandwidth from 24.8 to 31.7 GHz [255]. The DBR was also used in an avalanche photodiode (APD) to achieve a record primary responsivity of 1.25 A/W (almost 100% quantum efficiency) without compromising the bandwidth [256]. On the other hand, the waveguide loop reflector [257] and MRRs [258] have been utilized to realize the similar effect, and Chang et al. proposed a simple corner reflector at the edge of the Ge film instead of resonant structures on Si [259].

    3. Bandwidth

    The bandwidth of the PIN PD is mainly determined by the equivalent resistance-capacitance (RC) parasitic parameters and the time for the carrier transiting the depletion region. Some previous works focus on reducing the equivalent RC parameters, and the Ge area has been shrunk to reduce the junction capacitance, achieving a bandwidth of 40–50 GHz [244]. However, the responsivity degrades to 0.4–0.8 A/W. Choi et al. reduced the series resistance by increasing the intrinsic silicon doping concentration, thereby increasing the bandwidth from 27 to 40 GHz [260]. In addition, a peaking inductor is introduced in the electrode to reform the RC to the RLC equivalent circuit of the detector and partly offsets the total capacitance to extend bandwidth without compromising the optical responsivity. Novack et al. designed the on-chip spiral inductor, extending the bandwidth from 30 to 60 GHz [233], and Yu et al. utilized the wire bonding to achieve an off-chip inductor with simplified design complexity and the same function [246]. Recently, the two-dimensional engineering of the parasitic parameters from both the diode and electrodes has been demonstrated to boost the bandwidth from 27 to 80 GHz [261]. In addition, a very high detectivity is achieved thanks to the high responsivity and low dark current.

    Another way to increase bandwidth is to reduce the carrier transit time. Chen et al. enhanced the electric field of the lateral junction to eliminate the slow carrier diffusion [243]. The bandwidth increased from 20 to 67 GHz. A novel, low-loss contact scheme reduced the negative effect of diffusion to achieve a high bandwidth of 70 GHz at 1  V [262]. Vivien et al. reduced the intrinsic Ge width after thermal annealing for dopant drift, achieving a very high bandwidth up to 120 GHz [231]. Very recently, Lischke et al. demonstrated waveguide-coupled Ge PDs with record bandwidths of 265 and 240 GHz by using 100 and 150 nm ultrathin Ge fins sandwiched between in situ–doped Si layers [263]. The responsivities inevitably decreased to 0.3 and 0.45 A/W, even though this work proves that the conventional Si technology can match or even outperform indium phosphide (InP) technology in terms of high-speed performance, without the need of III–V material transfer approaches. The state-of-the-art waveguide Si-Ge PIN PDs with large bandwidths, high responsivities, and low dark currents are summarized and shown in Table 6.

    State-of-the-Art Waveguide Si-Ge PIN Photodiodesa

    ReferenceTypeλ (μm)Vr (V)Id (nA)R (A/W)BW (GHz)
    [242]VPIN1.5511.61.040
    [244]VPIN1.53130.845
    [231]LPIN1.55140000.8120
    [262]LPIN1.5511001.070
    [243]LPIN1.55140.7467
    [263]LPIN1.5522000.3265
    1000.45240
    [233]VPIN1.55230000.7560
    [246]VPIN1.553610.8560
    [261]VPIN1.5536.40.8980
    [248]LPIN1.55281.16>50
    [254]LPIN1.55141.2317
    [253]LPIN1.5511001.27

    λ, wavelength; Vr, operation voltage; R, responsivity; Id, dark current; BW, bandwidth; VPIN, vertical PIN; LPIN, lateral PIN.

    4. Avalanche Photodiode

    APDs are used when high sensitivity is needed. The inherent gain, owing to the impact ionization effect, can break through the quantum efficiency limit of 100%. Thus, the APD has higher sensitivity compared with PIN. The Si-Ge APD combines the Ge material with a high absorption coefficient in the communication waveband and the Si material with perfect multiplication characteristics.

    Typically, a vertical separate absorption charge multiplication (SACM) structure is utilized to eliminate Ge multiplication and thus reduce the effective carrier impact ionization ratio (k factor) and improve the sensitivity. However, a multiplication layer with Si epitaxy and a charge layer need to be adopted and carefully designed. Recently, the lateral SACM structure has been proposed to omit epitaxial Si [264,265], being compatible with the fabrication of conventional PIN PDs. The three-terminal structure is used to directly and independently manipulate the electric fields in the absorption and multiplication regions, without the need for a charge layer [266]. In addition, the SACM APDs usually operate at high voltages above 25 V with high power consumption. Other structures including the metal-semiconductor-metal (MSM) [267] and PIN [268] are adopted to achieve operations at 1.5–10 V.

    The Si-Ge APDs with outstanding gain-bandwidth product (GBP) and sensitivity have been investigated for high-speed optical communications as shown in Table 7. In 2008, Kang et al. demonstrated a Si-Ge APD with a GBP of 340 GHz and a sensitivity of 28  dBm at 1012 and 10 Gb/s, and it was comparable to the InP-based counterparts [269]. Subsequently, they improved this result to a record sensitivity of 30.4  dBm [270]. The sensitivity of a 25 Gb/s APD reached 23.5  dBm at 1310 nm [245]. Kim et al. demonstrated a receiver with vertically illuminated Si-Ge APD, assisted by a negative conductance effect for high-speed operation with high gain [273]. The GBP of the device reached 460 GHz, and the device sensitivities varied from 19 to 14  dBm at 1012 for 28 and 40 Gb/s signal reception, respectively. A lateral SACM APD with a GBP of 300 GHz supports 56 Gb/s signal, with a sensitivity of 18.6  dBm at 104 [264]. Recently, the Si-Ge APDs have been investigated by using four-level pulse amplitude modulation (PAM-4) to achieve 100 Gb/s [275,276]. Pan et al. achieved a 106 Gb/s (53 Gbaud PAM-4) Si-Ge APD with a record sensitivity of 16.8  dBm [276].

    State-of-the-Art Si–Ge APDs for High-Speed Optical Communicationsa

    ReferenceTypeλ (μm)Vb (V)Rp (A/W)Id (nA)BW (GHz)GBP (GHz)BR (Gb/s)S at BER (dBm)
    [269]VSACM1.31250.551.2411.53401028 at 1012
    [267]MSM1.313.50.439.53001013.9 at 109
    [270]VSACM1.55230.81329.51030.4 at 1012
    [268]LPIN1.5570.420111901026 at 107
    [271]VSACM1.55100.750.44232762516 at 1012
    [272]VSACM1.31180.70.4262522.5 at 1012
    [273]VSACM1.5526.50.351304604013.9 at 1012
    [266]VSAM1.5560.48118.92842511.4 at 104
    [274]VSACM1.31120.212261505016 at 104
    [275]VPIN4.2401128 at 104
    [264]LSACM1.31120.6530273005018.6 at 104
    [5]LPIN1.5512.50.951033240649.2 at 106
    [276]VSACM1.3119.60.550.52818010616.8 at 104

    λ, wavelength; Vb, breakdown voltage; Rp, primary responsivity; Id, dark current; BW, bandwidth; GBP, gain-bandwidth product; BR, bit rate; S, sensitivity; BER, bit error rate; VSACM, vertical SACM; MSM, metal-semiconductor-metal; LPIN, lateral PIN; VPIN, vertical PIN; LSACM, lateral SACM.

    3. HIGH-CAPACITY SILICON PHOTONIC TRANSCEIVERS

    Silicon PICs can manipulate the light in silicon, which contains the generation, modulation, routing, processing, and detection. As an enabling technology, the application of silicon photonics in transceiver datacom and telecom is very successful [277,278].

    In short distance data center communications, the requirements to silicon photonics module are low cost, high volume, energy efficiency, and frequency upgrading. The research and development of 100G (4×25  Gb/s) pluggable transceivers have been initiated at Intel since 2010. After about six years’ efforts, Intel paves the way for silicon photonics with first in the world shipping products {[279], which are the 100G parallel single mode fiber 4-lane (PSM-4) and 100G coarse wavelength division multiplexing 4-lane (CWDM-4)}. The 100G CWDM-4 QFSP28 transceiver offers a solution up to 10 km as shown in Fig. 13. It includes two independent blocks, and each one has several dies. At transmitter (Tx) side, by bonding on silicon photonics die in a flip-chip configuration, it integrates four InP-based lasers and CMOS die chiplets. The key silicon photonics die is an MZM that encodes high-speed optical signals. And the data are processed by employing a four-channel 25G optical clock and data recovery (CDR) component from MACOM. At the receiver (Tx) side, it is realized by four high-performance germanium (Ge)-based photodetector dies and a TIA. The 100G silicon photonics module shows Intel’s huge potential in terms of packaging and photonics.

    100G CWDM-4 QFSP28 transceiver module of Intel.

    Figure 13.100G CWDM-4 QFSP28 transceiver module of Intel.

    In 2017, the IEEE standardized the 400GBase (4×100  Gb/s) Ethernet specifications where PAM-4 has been selected as the modulation format for the single-mode fiber (SMF) optical links. Two years later, the 400 Gb/s O-band silicon photonic chip for intra-data-center optical interconnects was demonstrated by Plant and co-authors [280]. Four parallel silicon photonic traveling wave MZMs are employed at the Tx side with 53 Gbaud PAM-4 for each lane. However, the silicon photonic chip is not integrated or packaged with laser and driver and so on.

    At the Optical Fiber Communications Conference (OFC 2020), a 400G DR4 silicon photonics Tx integrated with four heterogeneously integrated DFB lasers was demonstrated by Intel [281] as shown in Fig. 14. The module fulfills the lifetime and reliability requirements for 400G DR4 data center 2 km applications over a temperature range of 0°C–70°C. There is also another 400G silicon photonic transmitter for specific applications [282285]. For the next generation of data center applications, the 800G interface is a feasible and competitive solution. By using a silicon photonic transmitter in which the four-channel traveling wave MZM chip is co-packaged with a four-channel driver chip [286], an 800G (4×200G) PAM-4 optical signal transmission over 1 km SMF is demonstrated with DSP as shown in Fig. 15.

    400G DR4 transmitter with 4×100 Gb/s data paths of Intel.

    Figure 14.400G DR4 transmitter with 4×100  Gb/s data paths of Intel.

    Chip-on-board 800G silicon photonics transmitter.

    Figure 15.Chip-on-board 800G silicon photonics transmitter.

    A 1.6 Tb/s silicon photonics fully integrated Tx that contains on-die all the spot size converters (SSCs), V-grooves, monitor photodetectors, MRMs, and lasers is demonstrated. To enable 800G photonic engine (PE), the fully integrated Tx is combined with discrete silicon photonics Rx. Though well designed, the PE can support 16 modules to be co-packaged around a high-bandwidth switch ASIC [287] as shown in Fig. 16. Ayar Labs reported an error-free 1 Tb/s WDM optical I/O chiplet that features 4.96–5.56 pJ/bit (Tx + Rx) optical energy efficiency [288].

    Integrated copackaged optical IO switch package with 16 photonic engines (PEs).

    Figure 16.Integrated copackaged optical IO switch package with 16 photonic engines (PEs).

    In metro, long-haul, and subsea communications, the requirements for silicon photonics modules are low volume, high performance, and long life span. In 2021, Acacia Communications unveiled the industry’s first 1.2T faceplate pluggable coherent solution, which is called coherent interconnect module 8 (CIM 8) [289]. The CIM 8 combines the 5 nm CMOS Jannu DSP with advanced 3D siliconization packaging technology that contains the silicon photonics integrated circuit, driver, and TIA in a single opto-electronic package. Based on a silicon photonics IQ modulator, a dual-polarization 100 Gbaud 32QAM (1 Tb/s) is achieved [165]. It is the highest reported net rate of 833 Gb/s in a silicon photonics IQ modulator. Further, with the segmented design of the silicon photonics IQ modulator, it can operate beyond 100 Gbaud [166,170,290292]. A line rate of 600 Gb/s (net 480 Gb/s) on a single polarization is also realized [290]. The NTT Corporation presented a silicon photonics coherent optical subassembly with EO and OE bandwidths of over 50 GHz for digital coherent optical systems [293,294]. It supports dual-polarization 96 Gbaud 16QAM (768 Gb/s) signal generation and detection.

    The monolithic integration of photonic and electronic circuits could enable the scalability and complexity simultaneously for electronic-photonic systems and accelerate the realization of overall “systems on a chip.” In 2015, a system-on-a-chip integrating over 70 million electronic transistors and 850 photonic components that work together to provide logic, memory, and interconnect functions was first reported by Sun and co-authors [295]. The realized microprocessor system on chip utilizes the photonic devices to directly communicate with other chips by light. The chips are fabricated in IBM’s commercial 45 nm thin buried-oxide SOI process with “zero change.” In 2018, the group from US Massachusetts Institute of Technology (MIT) and cooperators demonstrated a large-scale monolithic electronic-photonic system based on a 65 nm transistor bulk CMOS process technology [296] as shown in Fig. 17. It integrated fully functional (except laser) photonic components such as optical waveguides, microring modulators, grating couplers, and APDs into a CMOS chip containing analog and digital electronics through the addition of a few extra processing steps in a 300 mm diameter wafer microelectronics foundry. There were two biggest challenges for this electronic-photonic integration chip: the first is to find a processing recipe for the polysilicon film (220 nm) so that it had both good optical and electrical properties, and the second is to incur minimum changes to the CMOS process to avoid any degradation to the nanoscale transistors on the chips. Overall, the advances in silicon photonics integrated circuits are delivering chips that can densely pack photonics and electronics together.

    Monolithic electronic-photonic systems based on a 65 nm transistor bulk CMOS process technology [296].

    Figure 17.Monolithic electronic-photonic systems based on a 65 nm transistor bulk CMOS process technology [296].

    A silicon photonics module has been successfully applied in transceiver datacom and telecom with high capacity. To further increase the bandwidth and speed and decrease power consumption and cost, the advanced packaging is strongly demanded. It is important to develop a cost-effective PIC and electronic IC (EIC) packaging platform to realize high-data-rate transmission. As the density and speed of optical links have increased dramatically, the paradigm of front panel pluggables has shown to have many drawbacks. The integration and packaging technology must support the scaling of the number of the optical channel and provide a high-speed electrical interconnect between the PIC and EIC of each channel. Thus, PICs are evolving from pluggable transceivers at the periphery of the board to co-packaged optics and electronics. The “3D integration” methods, which are widely employed in CMOS processes, enable new optoelectronic integration architectures and better signal transmission [179]. The monolithic integration of silicon-based photonic (including the laser) and electronic circuits will allow for the scalability and complexity simultaneously for electronic-photonic systems and accelerate the realization of overall “systems on a chip.” The key of monolithic integration is the process’ “sweet-spot” for photonic and electronic circuits.

    4. HIGH-CAPACITY SILICON PHOTONIC NETWORKS ON CHIP

    The photonic network on chip (NoC) has drawn tremendous attention due to its potential to route signals and allocate light paths with substantial flexibility and scalability, which makes it possible to meet the harsh bandwidth and power-efficiency requirements for on-chip and inter-chip communications [297]. In general, the network fabric can be organized by assembling a large number of switching units as an array, and thereby it is vital to ensure compact footprints, high tuning efficiencies, and fast switching responses in each switching unit. The SOI waveguide can provide subwavelength modal areas, strong thermo-optical (TO) tunability, and sub-nanosecond electro-optical (EO) responses with free-carrier plasma-dispersion effect [2]. These outstanding characteristics have triggered a blooming proliferation of NoCs on silicon. Nevertheless, it still remains a prime issue as how to further expand the network capacity given that the scaling up of the switch array will exponentially increase the total power consumption and difficulties in controlling each switching unit. Besides, it is also challenging to make NoCs compatible with signals carried by different wavelengths or eigenmodes in order to multiply the capacity by utilizing wavelength/mode-division multiplexing technologies (i.e., WDM and MDM). Moreover, the efficient traffic of dense data streams in NoCs relies on the advanced topology of switch fabric, and thus it is essential to develop a new generation of NoCs on the basis of a smarter and multifunctional infrastructure. In this section, we will briefly review three typical classes of networks, i.e., single-mode, multimode, and ring-bus NoCs, and discuss how the capacity conundrum can be properly addressed for these schemes.

    A. Single-Mode NoCs

    The most commonly used NoCs are operated at a single-mode state, which can be attained by employing tunable MRR or MZS arrays as shown in the first column of Fig. 18. For MRR, the resonant wavelength can be thermally or electrically detuned from the incident one, which results in the low-power switching from bar state to cross state [298]. Thus, the crossbar fabric can be formed by arraying MRR in a rectangular grid as shown in Fig. 18(a), where each input signal can be routed toward any dropping port by selecting the corresponding MRR element. The MRR array can also be organized based on a more complex configuration (e.g., serpentine fabric) to achieve the spatial non-blocking with a higher level of flexibility. The first demonstration of MRR-based silicon NoC was reported by the joint team from Columbia and Cornell [299] as shown in Fig. 19(a). The hitless routing of multiple light paths was implemented via harnessing eight MRRs arranged in a serpentine manner, whereby 4×4 input/output ports were interfaced with low cross talk (<20  dB). Over the past years, great effort has been put into improving the performances of MRR-based NoCs [301,302] and also merging them into larger-scale networks [303,304]. Despite being compact and power efficient, MRRs suffer from two major drawbacks. First, the resonant wavelength of MRR is highly sensitive to fabrication errors (e.g., width and height deviations). Such inevitable wavelength drifts lead to the poor yielding in wafer-scale productions, which hampers the practical use of MRR-based NoCs. To tackle this problem, one approach is to lock the resonant wavelength by introducing the feedback mechanism [305307]. As an alternative, the nonuniformity can also be depressed by exploiting the higher-order MRR filters with box-like transmission responses [308311]. Secondly, the narrow bandwidth of MRR can contain only few wavelength channels, thereby hindering the link capacity in WDM systems. This obstacle can be solved by sequentially cascading several MRRs at the crossbar node [see also Fig. 18(a)], where each MRR is able to handle a single wavelength carrier. Such a WDM-compatible scheme can be found in some early studies that lack of experimental or systematic demonstrations [312,313]. Recently, Khope et al. reported the first practical wavelength-selective NoC that supports 111 Gb/s data streams with four wavelength channels over 4×4 MRR-based crossbar nodes [314,315], showcasing a large capacity and significant scalability.

    Schematic configurations for single-mode networks (first column), multimode networks (second column), and ring-bus networks (third column). (a), (b) The single-mode carriers can be arbitrarily routed by leveraging MRR or Mach–Zehnder switch (MZS) arrays. (c), (d) For multimode operations, the fabrics can be constructed by assembling mode MUXs and a single-mode NoC. (e), (f) The ring-bus NoCs support multiple carriers transferring in a single ring-like bus waveguide, which can be realized by utilizing WDM or MDM technologies. NoC, network on chip; MRR, micro-ring resonator; MZS, Mach–Zehnder switch; MUX, (de)multiplexer; WDM, wavelength-division multiplexing; MDM, mode-division multiplexing; WADM, wavelength add-drop (de)multiplexer; MADM, mode add-drop (de)multiplexer.

    Figure 18.Schematic configurations for single-mode networks (first column), multimode networks (second column), and ring-bus networks (third column). (a), (b) The single-mode carriers can be arbitrarily routed by leveraging MRR or Mach–Zehnder switch (MZS) arrays. (c), (d) For multimode operations, the fabrics can be constructed by assembling mode MUXs and a single-mode NoC. (e), (f) The ring-bus NoCs support multiple carriers transferring in a single ring-like bus waveguide, which can be realized by utilizing WDM or MDM technologies. NoC, network on chip; MRR, micro-ring resonator; MZS, Mach–Zehnder switch; MUX, (de)multiplexer; WDM, wavelength-division multiplexing; MDM, mode-division multiplexing; WADM, wavelength add-drop (de)multiplexer; MADM, mode add-drop (de)multiplexer.

    (a) The single-mode NoC based on MRR array [299], under Creative Commons license CC BY. (b) The single-mode NoC based on MZS array [300], under Creative Commons license CC BY. NoC, network on chip; MRR, micro-ring resonator; MZS, Mach–Zehnder switch.

    Figure 19.(a) The single-mode NoC based on MRR array [299], under Creative Commons license CC BY. (b) The single-mode NoC based on MZS array [300], under Creative Commons license CC BY. NoC, network on chip; MRR, micro-ring resonator; MZS, Mach–Zehnder switch.

    The MZS is another promising candidate for building high-performance NoCs. Over the recent years, the integrative performance of on-chip silicon MZS has been pushed to an unprecedented level in terms of its bandwidth, extinction ratio, and error tolerance. In contrast to MRR, the working bandwidth of MZS is intrinsically large yet is still restricted by the coupling-ratio dispersion of 3 dB couplers. The MMI coupler is capable of working over a large wavelength range [316], but its relatively high insertion loss will be overwhelmingly accumulated in an array. One possible solution was proposed in Ref. [317]. In this work, the dispersion-engineered bent directional couplers (BDCs) were utilized to eliminate the wavelength dependence of MZS, leading to an ultrabroad working bandwidth (>140  nm). The high extinction ratio is another desired attribute in MZS. In theory, the extinction ratio can be infinite if the coupling ratio is precisely 50:50 as the phase tuning of π is perfectly reached. However, the inevitable coupling-ratio deviations will impair the interference and degrade the extinction ratio. This hurdle can be overcome by introducing the two-stage scheme [318320]. The idea is to use a pair of successive phase-shifting stages to tailor the wavefront, so that the perfect switching operation with ultrahigh extinction ratios (>50  dB) can be promised even with imperfect 3 dB couplers [318]. Another concern is that the fabrication nonuniformity of phase-shifting arms will induce a slight phase drift that deteriorates the constructive interference at the off state. As a result, it is necessary to apply a phase bias onto each MZS element to compensate for the phase drift, which gives rise to the power consumption. This issue can be addressed by exploiting multimode waveguides instead of single-mode waveguides as phase shifters since the width sensitivity can be dramatically relaxed with a larger waveguide dimension [321]. The nonblocking routing can be then achieved by deploying an array of MZSs with crossbar, Clos, Spanke, Beneš, and Spanke-Beneš configurations [see also Fig. 18(b)]. Detailed discussions about these architectures can be found in previous studies on fiber-optical networks [322]. The rapid progress of silicon photonic foundry enables the monolithic integration of a great number of MZS elements and the cointegration of photonic devices with electric-driving circuits. In 2010, Yang et al. reported the first MZS-based silicon NoC using a 4×4 serpentine fabric [323] as shown in Fig. 19(b). The first demonstration of large-scale nonblocking routing in MZS-based silicon NoC can be found in Ref. [251]. Totally, 1024 TO MZSs were integrated on a single die within a small area (11  mm×25  mm). The NoC chip was packaged with a printed circuit board (PCB) through a land grid array (LGA) interposer. Thus, 32×32 reconfigurable light paths can be arbitrarily built up with low cross talk (<20  dB). Some other studies also focus on improving the power efficiency and response time by introducing the direct-heating [324] and EO-driven [325] phase shifters into MZSs.

    B. Multimode NoCs

    From the above discussions, we stress the importance of NoCs working at single-mode for nonblocking routing purposes since they are footstones for advanced NoCs working with a higher degree of freedom and more sophisticated functionalities. The drive toward power- and cost-efficient optical communications has led to the emerging of MDM technology for expanding the link capacity within a single-wavelength carrier [326,327]. It should be noted that MDM signals also need to be routed, added, and dropped directly in the optical domain. Therefore, the situation has arisen where the multimode NoC is required to dynamically manipulate mode carriers. The difference between single- and multimode NoCs is that, for single-mode NoCs, the routing operation happens between distinct input/output waveguides, whereas for multimode NoCs, the signal is usually reloaded onto a different mode carrier to regenerate the signal-carrier mapping. Over the recent years, there has been a wide scope of reported devices targeting reconfigurable multimode handling, including the ones based on Y branches [328330], MRRs [84,331333], and MZSs [334337]. However, most of them can only be used to realize simple mode exchange or add-drop, and thereby it is more reasonable to categorize this class of devices as “multimode switches” rather than “multimode NoCs”. Basically, a multimode NoC can be created by assembling mode (de)multiplexers (MUXs) with a single-mode NoC, as shown in the second column of Fig. 18. Based on this scheme, higher-order modes can be extracted into a series of single-mode waveguides and processed in the single-mode realm, and then multiplexed into another multimode bus waveguide with signal-carrier relation updated. One widely applied configuration is a combination of mode MUXs with an MRR-based crossbar fabric as shown in Fig. 18(c). This type of multimode NoC was first demonstrated by Sun et al. in 2018 [338] as shown in Fig. 20(a). Afterward, a very similar design was also reported by the same team [340]. In these works, 2×2 inter-path routing and inter-modal exchange were present as a proof of concept, showcasing the potential for multipurpose mode handling. Moreover, it is also feasible to realize the simultaneous routing of WDM and MDM signals by inserting more MRRs into the crossbar node [341]. Another mainstream use is to use MZS to build multimode NoCs [see Fig. 18(d)], taking advantage of its broadband property and compatibility with WDM. In 2018, Yang et al. proposed and demonstrated the general architecture for MZS-based multimode NoC, which consists of two groups of mode MUXs connected to a nonblocking MZS array in between [339,342] as shown in Fig. 20(b). The experimental results show that the 2×4 inter-path and inter-modal routing can be obtained with low cross talk (<15.3  dB) over a broad wavelength span (>40  nm). Furthermore, recent research also shows that both wavelength and mode selectivity can be achieved in a single NoC by merging MRRs into the MZS array as a full system [343,344].

    (a) The multimode NoC based on MRR array [338], under Creative Commons license CC BY. (b) The multimode NoC based on MZS array [339], under Creative Commons license CC BY. NoC, network on chip; MRR, micro-ring resonator; MZS, Mach–Zehnder switch.

    Figure 20.(a) The multimode NoC based on MRR array [338], under Creative Commons license CC BY. (b) The multimode NoC based on MZS array [339], under Creative Commons license CC BY. NoC, network on chip; MRR, micro-ring resonator; MZS, Mach–Zehnder switch.

    C. Ring-Bus NoCs

    For the majority of the reported NoCs, the switch array is organized in a rectangular grid or a layered infrastructure as discussed above. However, functionalities provided by these conventional fabrics are basically limited to routing and add-drop. For a practical NoC, a lot more features (e.g., self-configuring, broadcasting, hubbed connection, and duplex link) are demanded to manage light paths and ensure survivability over a large capacity. This bottleneck can be broken only by introducing a more advanced infrastructure beyond conventional fabrics. One emerging trend is to use the ring-bus NoC, which is composed of numerous nodes that are bridged by a feeding ring, as shown in the third column of Fig. 18. For such a scheme, all the light paths run parallel within a single ring-like bus, and thus the data-transmission topology can be vastly diversified since signals can be arbitrarily accessed and controlled by all the nodes. Additionally, each pair of nodes is linked by two semi-rings, thereby enabling duplex communications without circulators. It should be noted that all the light paths in a ring-bus NoC are inevitably overlapped, since there is only one available bus. Consequently, it is essential to use multiplexing technologies (i.e., WDM and MDM) to ensure the parallelism for each independent light path. As a result, each node is actually a wavelength or mode add-drop multiplexing switch (i.e., WADM or MADM switch) as shown in the third column of Fig. 18, which raises the technical difficulty in building a practical ring-bus NoC. In 2016, Zhang et al. reported the first breakthrough in this field [345] as shown in Fig. 21(a). The broadband MZS was adopted to add-drop eight-channel WDM signals that propagate in the bus, while the wavelength (de)multiplexing was implemented at eight nodes by leveraging AWGs. An extra node was also inserted into the bus to enable chip-fiber interconnections. Moreover, low-threshold DFB lasers, high-speed electro-absorption modulators (EAMs), semiconductor optical amplifiers (SOAs), and PDs were all packed on the same chip through heterogeneous integrations. In this way, an aggregate link capacity up to 8×8×40  Gb/s was realized for both intra- and inter-chip communications. Nevertheless, this WDM-based scheme requires quite a number of laser diodes, each working at a different wavelength. A more cost-effective approach is to use MDM to substitute WDM, so that light paths can be conveyed by different eigenmodes within a shared wavelength channel. The first demonstration of MDM-based ring-bus NoC was reported very recently [346] as shown in Fig. 21(b). The “direct-access” MADM was proposed to realize the hitless add-drop of any selected eigenmode without demultiplexing other idle carriers. One hub node and three accessing nodes were connected by a multimode waveguide in order to realize a multi-point-to-point (MP2P) data traffic, based on which, several different network states were present with a large link capacity of 3×3×10  Gb/s in a duplex manner. In this work, the wavelength/mode-hybrid add-drop was also demonstrated by combining an MRR array with MADM switches. Hence, it is possible to further boost the link capacity by introducing the WDM-MDM hybrid mechanism.

    (a) The ring-bus NoC based on WDM [345], under Creative Commons license CC BY. (b) The bus-ring NoC based on MDM [346], under Creative Commons license CC BY. NoC, network on chip; WDM, wavelength-division multiplexing; MDM, mode-division multiplexing.

    Figure 21.(a) The ring-bus NoC based on WDM [345], under Creative Commons license CC BY. (b) The bus-ring NoC based on MDM [346], under Creative Commons license CC BY. NoC, network on chip; WDM, wavelength-division multiplexing; MDM, mode-division multiplexing.

    Several key improvements could facilitate the practical use of large-capacity NoCs. First, the power efficiency of switching unit in NoCs needs to be minimized. One possible solution is to seek alternative mechanisms beyond the conventional TO or EO switching. Micro-electro-mechanical systems (MEMSs) could offer opportunities for power-efficient NoCs. The switching operation for MEMS switch is realized by moving waveguides via electrostatic actuations, whose power consumption can be nearly zero. Some recent works have proven that MEMS switches can provide ultrahigh extinction ratios (>70  dB), fast switching responses (<50  ns), broad bandwidths (>100  nm), low actuation voltages (<2  V), and an ultralarge integration scale (>240×240) [347353]. Second, the further scaling up of the switch array is constrained by the maximum die size and propagation losses over a long routing path. This issue can be addressed by using the multimode waveguide since it is able to reduce the scattering losses induced by sidewall roughness and misalignment between adjacent reticles [354], ensuring the wafer-scale seamless integration. Third, it is still a big challenge to manipulate multimode carriers in an NoC. So far, most reported multimode NoCs can only process signals in the single-mode realm, and thus it is necessary to cascade mode MUXs at two ends, which increases the device size and system complexity. The system can be significantly simplified if higher-order modes can be directly handled without demultiplexing. This scheme could be realized by using phase-change materials due to the ultralarge index variation range [355360].

    5. CONCLUSION

    Silicon photonics provides an excellent platform to scale the complexity of integrated transceiver systems. All the required components including the laser source, modulators, photodetectors, and the passive devices [e.g., wavelength and mode (de)multiplexers, splitters/combiners, couplers, polarization manipulating devices] can be integrated on silicon chips, either monolithically or in a hybrid manner. The transmission capacity can be scaled in various dimensions. The single channel transmission rate can be improved by using large-bandwidth modulators and photodetectors. Furthermore, coherent detection with higher-order modulation formats, such as quadrature phase-shift keying (QPSK) and higher-order quadrature amplitude modulation (QAM) can be utilized to improve the single carrier transmission rate. More channels can be achieved by extending the wavelength-division multiplexing band from the traditional C band (1530–1565 nm) to C+L bands (1530–1625 nm). New multiplexing technologies such as mode division multiplexing and polarization-division multiplexing have been introduced to further improve the transmission capacity. Large-scale integration of photonic functions on a silicon platform provides a promising solution to resolve the dilemma of scaling system complexity while reducing the size, energy, and cost.

    References

    [1] W. Shi, Y. Tian, A. Gervais. Scaling capacity of fiber-optic transmission systems via silicon photonics. Nanophotonics, 9, 4629-4663(2020).

    [2] D. Thomson, A. Zilkie, J. E. Bowers, T. Komljenovic, G. T. Reed, L. Vivien, D. Marris-Morini, E. Cassan, L. Virot, J.-M. Fédéli, J.-M. Hartmann, J. H. Schmid, D.-X. Xu, F. Boeuf, P. O’Brien, G. Z. Mashanovich, M. Nedeljkovic. Roadmap on silicon photonics. J. Opt., 18, 073003(2016).

    [3] J. Witzens. High-speed silicon photonics modulators. Proc. IEEE, 106, 2158-2182(2018).

    [4] S. Chen, X. Fu, J. Wang, Y. Shi, S. He, D. Dai. Compact dense wavelength-division (de)multiplexer utilizing a bidirectional arrayed-waveguide grating integrated with a Mach–Zehnder interferometer. J. Lightwave Technol., 33, 2279-2285(2015).

    [5] J. Zhang, B. P.-P. Kuo, S. Radic. 64 Gb/s PAM4 and 160 Gb/s 16QAM modulation reception using a low-voltage Si-Ge waveguide-integrated APD. Opt. Express, 28, 23266-23273(2020).

    [6] D. Liang, J. E. Bowers. Recent progress in lasers on silicon. Nat. Photonics, 4, 511-517(2010).

    [7] T. Horikawa, D. Shimura, H. Okayama, S. Jeong, H. Takahashi, J. Ushida, Y. Sobu, A. Shiina, M. Tokushima, K. Kinoshita, T. Mogami. A 300-mm silicon photonics platform for large-scale device integration. IEEE J. Sel. Top. Quantum Electron., 24, 8200415(2018).

    [8] C. Doerr. Silicon photonic integration in telecommunications. Front. Phys., 3, 37(2015).

    [9] S. Y. Siew, B. Li, F. Gao, H. Y. Zheng, W. Zhang, P. Guo, S. W. Xie, A. Song, B. Dong, L. W. Luo, C. Li, X. Luo, G.-Q. Lo. Review of silicon photonics technology and platform development. J. Lightwave Technol., 39, 4374-4389(2021).

    [10] A. Novack, M. Streshinsky, R. Ding, Y. Liu, E.-J. Lim Andy, G.-Q. Lo, T. Baehr-Jones, M. Hochberg. Progress in silicon platforms for integrated optics. Nanophotonics, 3, 205-214(2014).

    [11] D. Liu, C. Zhang, D. Liang, D. Dai. Submicron-resonator-based add-drop optical filter with an ultra-large free spectral range. Opt. Express, 27, 416-422(2019).

    [12] Y. Yanagase, S. Suzuki, Y. Kokubun, S. T. Chu. Box-like filter response and expansion of FSR by a vertically triple coupled microring resonator filter. J. Lightwave Technol., 20, 1525-1529(2002).

    [13] H. Wang, J. Dai, H. Jia, S. Shao, X. Fu, L. Zhang, L. Yang. Polarization-independent tunable optical filter with variable bandwidth based on silicon-on-insulator waveguides. Nanophotonics, 7, 1469-1477(2018).

    [14] M. R. Watts, T. Barwicz, M. Popovic, P. T. Rakich, L. Socci, E. P. Ippen, H. I. Smith, F. Kaertner. Microring-resonator filter with doubled free-spectral-range by two-point coupling. Conference on Lasers and Electro-Optics/Quantum Electronics and Laser Science and Photonic Applications Systems Technologies, CMP3(2005).

    [15] D. Yi, Y. Zhang, H. K. Tsang. Doubling the free spectral range of a micro-ring resonator without reducing the resonator length. Asia Communications and Photonics Conference (ACPC), M3D.5(2019).

    [16] R. Boeck, J. Flueckiger, L. Chrostowski, N. A. F. Jaeger. Experimental performance of DWDM quadruple Vernier racetrack resonators. Opt. Express, 21, 9103-9112(2013).

    [17] P. Dong, N.-N. Feng, D. Feng, W. Qian, H. Liang, D. C. Lee, B. J. Luff, T. Banwell, A. Agarwal, P. Toliver, R. Menendez, T. K. Woodward, M. Asghari. GHz-bandwidth optical filters based on high-order silicon ring resonators. Opt. Express, 18, 23784-23789(2010).

    [18] D. Liu, L. Zhang, Y. Tan, D. Dai. High-order adiabatic elliptical-microring filter with an ultra-large free-spectral-range. J. Lightwave Technol., 39, 5910-5916(2021).

    [19] Q. Zhu, X. Jiang, Y. Yu, R. Cao, H. Zhang, D. Li, Y. Li, L. Zeng, X. Guo, Y. Zhang, C. Qiu. Automated wavelength alignment in a 4 × 4 silicon thermo-optic switch based on dual-ring resonators. IEEE Photon. J., 10, 6600311(2018).

    [20] F. Horst, W. M. J. Green, S. Assefa, S. M. Shank, Y. A. Vlasov, B. J. Offrein. Cascaded Mach-Zehnder wavelength filters in silicon photonics for low loss and flat pass-band WDM (de-)multiplexing. Opt. Express, 21, 11652-11658(2013).

    [21] B. Liu, Y. Zhang, Y. He, X. Jiang, J. Peng, C. Qiu, Y. Su. Silicon photonic bandpass filter based on apodized subwavelength grating with high suppression ratio and short coupling length. Opt. Express, 25, 11359-11364(2017).

    [22] Q. Deng, L. Liu, R. Zhang, X. Li, J. Michel, Z. Zhou. Athermal and flat-topped silicon Mach-Zehnder filters. Opt. Express, 24, 29577-29582(2016).

    [23] P. Zheng, X. Xu, G. Hu, R. Zhang, B. Yun, Y. Cui. Integrated multi-functional optical filter based on a self-coupled microring resonator assisted MZI structure. J. Lightwave Technol., 39, 1429-1437(2021).

    [24] E. J. Stanton, N. Volet, J. E. Bowers. Low-loss demonstration and refined characterization of silicon arrayed waveguide gratings in the near-infrared. Opt. Express, 25, 30651-30663(2017).

    [25] A. Stoll, Z. Zhang, R. Haynes, M. Roth. High-resolution arrayed-waveguide-gratings in astronomy: design and fabrication challenges. Photonics, 4, 30(2017).

    [26] J. F. Bauters, J. R. Adleman, M. J. Heck, J. E. Bowers. Design and characterization of arrayed waveguide gratings using ultra-low loss Si3N4 waveguides. Appl. Phys. A, 116, 427-432(2014).

    [27] S. Pathak, M. Vanslembrouck, P. Dumon, D. Van Thourhout, W. Bogaerts. Optimized silicon AWG with flattened spectral response using an MMI aperture. J. Lightwave Technol., 31, 87-93(2013).

    [28] J. Wang, Z. Sheng, L. Li, A. Pang, A. Wu, W. Li, X. Wang, S. Zou, M. Qi, F. Gan. Low-loss and low-crosstalk 8 × 8 silicon nanowire AWG routers fabricated with CMOS technology. Opt. Express, 22, 9395-9403(2014).

    [29] S. Pathak, D. Van Thourhout, W. Bogaerts. Design trade-offs for silicon-on-insulator-based AWGs for (de)multiplexer applications. Opt. Lett., 38, 2961-2964(2013).

    [30] S. Cheung, T. Su, K. Okamoto, S. J. B. Yoo. Ultra-compact silicon photonic 512 × 512 25  GHz arrayed waveguide grating router. IEEE J. Sel. Top. Quantum Electron., 20, 310-316(2014).

    [31] C. Xie, X. Zou, F. Zou, L. Yan, W. Pan, Y. Zhang. 32-channel 100  GHz wavelength division multiplexer by interleaving two silicon arrayed waveguide gratings. Chin. Phys. B, 30, 120703(2021).

    [32] L. Zhu, J. Sun, Y. Zhou. Silicon-based wavelength division multiplexer using asymmetric grating-assisted couplers. Opt. Express, 27, 23234-23249(2019).

    [33] D. Liu, L. Zhang, H. Jiang, D. Dai. First demonstration of an on-chip quadplexer for passive optical network systems. Photon. Res., 9, 757-763(2021).

    [34] H. Fukuda, K. Yamada, T. Tsuchizawa, T. Watanabe, H. Shinojima, S.-I. Itabashi. Ultrasmall polarization splitter based on silicon wire waveguides. Opt. Express, 14, 12401-12408(2006).

    [35] H. Zafar, R. Flores, R. Janeiro, A. Khilo, M. S. Dahlem, J. Viegas. High-extinction ratio polarization splitter based on an asymmetric directional coupler and on-chip polarizers on a silicon photonics platform. Opt. Express, 28, 22899-22907(2020).

    [36] Y. Zhang, Y. He, J. Wu, X. Jiang, R. Liu, C. Qiu, X. Jiang, J. Yang, C. Tremblay, Y. Su. High-extinction-ratio silicon polarization beam splitter with tolerance to waveguide width and coupling length variations. Opt. Express, 24, 6586-6593(2016).

    [37] D. Vermeulen, S. Selvaraja, P. Verheyen, P. Absil, W. Bogaerts, D. V. Thourhout, G. Roelkens. Silicon-on-insulator polarization rotator based on a symmetry breaking silicon overlay. IEEE Photon. Technol. Lett., 24, 482-484(2012).

    [38] H. Xu, Y. Shi. Ultra-broadband silicon polarization splitter-rotator based on the multi-mode waveguide. Opt. Express, 25, 18485-18491(2017).

    [39] Y. Zhang, Q. Zhu, Y. He, Y. Su. Silicon polarization splitter and rotator with tolerance to width variations using a nonlinearly-tapered and partially-etched directional coupler. Optical Fiber Communication Conference (OFC), W1E.4(2019).

    [40] H. Wu, Y. Tan, D. Dai. Ultra-broadband high-performance polarizing beam splitter on silicon. Opt. Express, 25, 6069-6075(2017).

    [41] H. Xu, D. Dai, Y. Shi. Ultra-broadband and ultra-compact on-chip silicon polarization beam splitter by using hetero-anisotropic metamaterials. Laser Photon. Rev., 13, 1800349(2019).

    [42] Y. Liu, H. Li, W. Chen, P. Wang, S. Dai, B. Zhang, J. Li, Y. Li, Q. Fu, T. Dai, H. Yu, J. Yang. Direct-binary-search-optimized compact silicon-based polarization beam splitter using a pixelated directional coupler. Opt. Commun., 484, 126670(2021).

    [43] W. Chen, B. Zhang, P. Wang, S. Dai, W. Liang, H. Li, Q. Fu, J. Li, Y. Li, T. Dai, H. Yu, J. Yang. Ultra-compact and low-loss silicon polarization beam splitter using a particle-swarm-optimized counter-tapered coupler. Opt. Express, 28, 30701-30709(2020).

    [44] C. Li, D. Dai. Compact polarization beam splitter for silicon photonic integrated circuits with a 340-nm-thick silicon core layer. Opt. Lett., 42, 4243-4246(2017).

    [45] J. Zhang, M. Yu, G. Lo, D.-L. Kwong. Silicon waveguide-based mode-evolution polarization rotator. Proc. SPIE, 7719, 77190C(2010).

    [46] L. Chen, C. R. Doerr, Y.-K. Chen. Compact polarization rotator on silicon for polarization-diversified circuits. Opt. Lett., 36, 469-471(2011).

    [47] K. Goi, A. Oka, H. Kusaka, K. Ogawa, T.-Y. Liow, X. Tu, G.-Q. Lo, D.-L. Kwong. Low-loss partial rib polarization rotator consisting only of silicon core and silica cladding. Opt. Lett., 40, 1410-1413(2015).

    [48] D. Chen, X. Xiao, L. Wang, W. Liu, Q. Yang, S. Yu. Highly efficient silicon optical polarization rotators based on mode order conversions. Opt. Lett., 41, 1070-1073(2016).

    [49] Z. Wang, D. Dai. Ultrasmall Si-nanowire-based polarization rotator. J. Opt. Soc. Am. B, 25, 747-753(2008).

    [50] M. Aamer, A. M. Gutierrez, A. Brimont, D. Vermeulen, G. Roelkens, J.-M. Fedeli, A. Håkansson, P. Sanchis. CMOS compatible silicon-on-insulator polarization rotator based on symmetry breaking of the waveguide cross section. IEEE Photon. Technol. Lett., 24, 2031-2034(2012).

    [51] A. Xie, L. Zhou, J. Chen, X. Li. Efficient silicon polarization rotator based on mode-hybridization in a double-stair waveguide. Opt. Express, 23, 3960-3970(2015).

    [52] H. Xu, Y. Shi. Subwavelength-grating-assisted silicon polarization rotator covering all optical communication bands. Opt. Express, 27, 5588-5597(2019).

    [53] J. Chen, D. Gao. Ultra-compact polarization rotator based on mode coupling in a groove-like waveguide, assisted by subwavelength grating. Appl. Opt., 59, 5368-5376(2020).

    [54] A. Majumder, B. Shen, R. Polson, R. Menon. Ultra-compact polarization rotation in integrated silicon photonics using digital metamaterials. Opt. Express, 25, 19721-19731(2017).

    [55] W. Chang, S. Xu, M. Cheng, D. Liu, M. Zhang. Inverse design of a single-step-etched ultracompact silicon polarization rotator. Opt. Express, 28, 28343-28351(2020).

    [56] D. Dai, J. E. Bowers. Novel concept for ultracompact polarization splitter-rotator based on silicon nanowires. Opt. Express, 19, 10940-10949(2011).

    [57] J. Wang, B. Niu, Z. Sheng, A. Wu, W. Li, X. Wang, S. Zou, M. Qi, F. Gan. Novel ultra-broadband polarization splitter-rotator based on mode-evolution tapers and a mode-sorting asymmetric Y-junction. Opt. Express, 22, 13565-13571(2014).

    [58] L. Liu, Y. Ding, K. Yvind, J. M. Hvam. Silicon-on-insulator polarization splitting and rotating device for polarization diversity circuits. Opt. Express, 19, 12646-12651(2011).

    [59] Y. Ding, L. Liu, C. Peucheret, H. Ou. Fabrication tolerant polarization splitter and rotator based on a tapered directional coupler. Opt. Express, 20, 20021-20027(2012).

    [60] Y. Zhang, Y. He, X. Jiang, B. Liu, C. Qiu, Y. Su, R. A. Soref. Ultra-compact and highly efficient silicon polarization splitter and rotator. APL Photon., 1, 091304(2016).

    [61] H. Guan, A. Novack, M. Streshinsky, R. Shi, Q. Fang, A. E.-J. Lim, G.-Q. Lo, T. Baehr-Jones, M. Hochberg. CMOS-compatible highly efficient polarization splitter and rotator based on a double-etched directional coupler. Opt. Express, 22, 2489-2496(2014).

    [62] K. Tan, Y. Huang, G.-Q. Lo, C. Yu, C. Lee. Ultra-broadband fabrication-tolerant polarization splitter and rotator. Optical Fiber Communication Conference (ACP), Th1G.7(2017).

    [63] Y. Ding, H. Ou, C. Peucheret. Wideband polarization splitter and rotator with large fabrication tolerance and simple fabrication process. Opt. Lett., 38, 1227-1229(2013).

    [64] D. Chen, M. Liu, Y. Zhang, L. Wang, X. Hu, P. Feng, X. Xiao, S. Yu. C+L band polarization rotator-splitter based on a compact S-bend waveguide mode demultiplexer. Opt. Express, 29, 10949-10957(2021).

    [65] L. Han, S. Liang, H. Zhu, L. Qiao, J. Xu, W. Wang. Two-mode de/multiplexer based on multimode interference couplers with a tilted joint as phase shifter. Opt. Lett., 40, 518-521(2015).

    [66] D. González-Andrade, J. G. Wangüemert-Pérez, A. V. Velasco, A. Ortega-Moñux, A. Herrero-Bermello, I. Molina-Fernández, R. Halir, P. Cheben. Ultra-broadband mode converter and multiplexer based on sub-wavelength structures. IEEE Photon. J., 10, 2201010(2018).

    [67] N. Riesen, J. D. Love. Design of mode-sorting asymmetric Y-junctions. Appl. Opt., 51, 2778-2783(2012).

    [68] J. B. Driscoll, R. R. Grote, B. Souhan, J. I. Dadap, M. Lu, R. M. Osgood. Asymmetric Y junctions in silicon waveguides for on-chip mode-division multiplexing. Opt. Lett., 38, 1854-1856(2013).

    [69] D. Dai, J. Wang, Y. Shi. Silicon mode (de)multiplexer enabling high capacity photonic networks-on-chip with a single-wavelength-carrier light. Opt. Lett., 38, 1422-1424(2013).

    [70] D. Dai, C. Li, S. Wang, H. Wu, Y. Shi, Z. Wu, S. Gao, T. Dai, H. Yu, H.-K. Tsang. 10-channel mode (de)multiplexer with dual polarizations. Laser Photon. Rev., 12, 1700109(2018).

    [71] M. Yin, Q. Deng, Y. Li, X. Wang, H. Li. Compact and broadband mode multiplexer and demultiplexer based on asymmetric plasmonic-dielectric coupling. Appl. Opt., 53, 6175-6180(2014).

    [72] Y. Liu, K. Xu, S. Wang, W. Shen, H. Xie, Y. Wang, S. Xiao, Y. Yao, J. Du, Z. He, Q. Song. Arbitrarily routed mode-division multiplexed photonic circuits for dense integration. Nat. Commun., 10, 3263(2019).

    [73] T. Uematsu, Y. Ishizaka, Y. Kawaguchi, K. Saitoh, M. Koshiba. Design of a compact two-mode multi/demultiplexer consisting of multimode interference waveguides and a wavelength-insensitive phase shifter for mode-division multiplexing transmission. J. Lightwave Technol., 30, 2421-2426(2012).

    [74] D. Chack, S. Hassan, M. Qasim. Broadband and low crosstalk silicon on-chip mode converter and demultiplexer for mode division multiplexing. Appl. Opt., 59, 3652-3659(2020).

    [75] Z. Wang, C. Yao, Y. Zhang, Y. Su. Compact silicon three-mode multiplexer by refractive-index manipulation on a multi-mode interferometer. Opt. Express, 29, 13899-13907(2021).

    [76] Y. He, S. An, X. Li, Y. Huang, Y. Zhang, H. Chen, Y. Su. Record high-order mode-division-multiplexed transmission on chip using gradient-duty-cycle subwavelength gratings. Optical Fiber Communication Conference (OFC), F3A.2(2021).

    [77] J. D. Love, N. Riesen. Single, few, and multimode Y-junctions. J. Lightwave Technol., 30, 304-309(2012).

    [78] J. B. Driscoll, C. P. Chen, R. R. Grote, B. Souhan, J. I. Dadap, A. Stein, M. Lu, K. Bergman, R. M. Osgood. A 60  Gb/s MDM-WDM Si photonic link with <0.7  dB power penalty per channel. Opt. Express, 22, 18543-18555(2014).

    [79] H.-C. Chung, K.-S. Lee, S.-Y. Tseng. Short and broadband silicon asymmetric Y-junction two-mode (de)multiplexer using fast quasiadiabatic dynamics. Opt. Express, 25, 13626-13634(2017).

    [80] J. Wang, S. He, D. Dai. On-chip silicon 8-channel hybrid (de)multiplexer enabling simultaneous mode- and polarization-division-multiplexing. Laser Photon. Rev., 8, L18-L22(2014).

    [81] J. Wang, P. Chen, S. Chen, Y. Shi, D. Dai. Improved 8-channel silicon mode demultiplexer with grating polarizers. Opt. Express, 22, 12799-12807(2014).

    [82] J. Wang, Y. Xuan, M. Qi, H. Huang, Y. Li, M. Li, X. Chen, Z. Sheng, A. Wu, W. Li, X. Wang, S. Zou, F. Gan. Broadband and fabrication-tolerant on-chip scalable mode-division multiplexing based on mode-evolution counter-tapered couplers. Opt. Lett., 40, 1956-1959(2015).

    [83] W. Chang, L. Lu, X. Ren, D. Li, Z. Pan, M. Cheng, D. Liu, M. Zhang. Ultra-compact mode (de)multiplexer based on subwavelength asymmetric Y-junction. Opt. Express, 26, 8162-8170(2018).

    [84] L.-W. Luo, N. Ophir, C. P. Chen, L. H. Gabrielli, C. B. Poitras, K. Bergmen, M. Lipson. WDM-compatible mode-division multiplexing on a silicon chip. Nat. Commun., 5, 3069(2014).

    [85] D. Dai, J. Wang, S. Chen, S. Wang, S. He. Monolithically integrated 64-channel silicon hybrid demultiplexer enabling simultaneous wavelength- and mode-division-multiplexing. Laser Photon. Rev., 9, 339-344(2015).

    [86] Y. Zhang, R. Zhang, Q. Zhu, Y. Yuan, Y. Su. Architecture and devices for silicon photonic switching in wavelength, polarization and mode. J. Lightwave Technol., 38, 215-225(2020).

    [87] Y. Huang, Y. He, H. Chen, H. Huang, Y. Zhang, N. Ye, N. K. Fontaine, R. Ryf, Y. Song, Q. Zhang, Y. Su, M. Wang. On-chip mode-division multiplexing transmission with modal crosstalk mitigation employing low-coherence matched detection. J. Lightwave Technol., 39, 2008-2014(2021).

    [88] Y. Su, Y. He, H. Chen, X. Li, G. Li. Perspective on mode-division multiplexing. Appl. Phys. Lett., 118, 200502(2021).

    [89] Y. Tong, W. Zhou, X. Wu, H. K. Tsang. Efficient mode multiplexer for few-mode fibers using integrated silicon-on-insulator waveguide grating coupler. IEEE J. Quantum Electron., 56, 8400107(2019).

    [90] D. Dai, M. Mao. Mode converter based on an inverse taper for multimode silicon nanophotonic integrated circuits. Opt. Express, 23, 28376-28388(2015).

    [91] J. Zhu, H. Huang, Y. Zhao, Y. Li, Z. Sheng, F. Gan. Efficient silicon integrated four-mode edge coupler for few-mode fiber coupling. Chin. Opt. Lett., 20, 011302(2022).

    [92] O. A. Jimenez Gordillo, U. D. Dave, M. Lipson. One-to-one coupling higher order modes in a fiber to higher order modes in silicon waveguide. Conference on Lasers and Electro-Optics, SW3C.4(2021).

    [93] W. Shen, J. Du, J. Xiong, L. Ma, Z. He. Silicon-integrated dual-mode fiber-to-chip edge coupler for 2 × 100  Gbps/lambda MDM optical interconnection. Opt. Express, 28, 33254-33262(2020).

    [94] A. Rahim, A. Hermans, B. Wohlfeil, D. Petousi, B. Kuyken, D. Van Thourhout, R. Baets. Taking silicon photonics modulators to a higher performance level: state-of-the-art and a review of new technologies. Adv. Photon., 3, 024003(2021).

    [95] N. C. Harris, Y. Ma, J. Mower, T. Baehr-Jones, D. Englund, M. Hochberg, C. Galland. Efficient, compact and low loss thermo-optic phase shifter in silicon. Opt. Express, 22, 10487-10493(2014).

    [96] G. T. Reed, G. Mashanovich, F. Y. Gardes, D. J. Thomson. Silicon optical modulators. Nat. Photonics, 4, 518-526(2010).

    [97] W. M. J. Green, M. J. Rooks, L. Sekaric, Y. A. Vlasov. Ultra-compact, low RF power, 10  Gb/s silicon Mach-Zehnder modulator. Opt. Express, 15, 17106-17113(2007).

    [98] S. J. Spector, C. M. Sorace, M. W. Geis, M. E. Grein, J. U. Yoon, T. M. Lyszczarz, E. P. Ippen, F. X. Kärtner. Operation and optimization of silicon-diode-based optical modulators. IEEE J. Sel. Top. Quantum Electron., 16, 165-172(2010).

    [99] S. Akiyama, T. Baba, M. Imai, M. Mori, T. Usuki. High-performance silicon modulator for integrated transceivers fabricated on 300-mm wafer. European Conference on Optical Communication (ECOC), 1-3(2014).

    [100] M. R. Watts, W. A. Zortman, D. C. Trotter, R. W. Young, A. L. Lentine. Low-voltage, compact, depletion-mode, silicon Mach–Zehnder modulator. IEEE J. Sel. Top. Quantum Electron., 16, 159-164(2010).

    [101] S. Tanaka, T. Simoyama, T. Aoki, T. Mori, S. Sekiguchi, S. H. Jeong, T. Usuki, Y. Tanaka, K. Morito. Ultralow-power (1.59  mW/Gbps), 56-Gbps PAM4 operation of Si photonic transmitter integrating segmented PIN Mach–Zehnder modulator and 28-nm CMOS driver. J. Lightwave Technol., 36, 1275-1280(2018).

    [102] Y. Sobu, T. Simoyama, S. Tanaka, Y. Tanaka, K. Morito. 70 Gbaud operation of all-silicon Mach–Zehnder modulator based on forward-biased PIN diodes and passive equalizer. 24th OptoElectronics and Communications Conference (OECC) and International Conference on Photonics in Switching and Computing (PSC), 1-3(2019).

    [103] Y. Sobu, S. Tanaka, Y. Tanaka, Y. Akiyama, T. Hoshida. High-speed, multi-level operation of all-silicon segmented modulator for optical DAC transmitter. IEEE Photonics Conference (IPC), 1-2(2020).

    [104] A. Liu, R. Jones, L. Liao, D. S. Rubio, D. Rubin, O. Cohen, R. Nicolaescu, M. Paniccia. A high-speed silicon optical modulator based on a metal-oxide-semiconductor capacitor. Nature, 427, 615-618(2004).

    [105] M. Webster, P. Gothoskar, V. Patel, D. Piede, S. Anderson, R. Tummidi, D. Adams, C. Appel, P. Metz, S. Sunder, B. Dama, K. Shastri. An efficient MOS-capacitor based silicon modulator and CMOS drivers for optical transmitters. 11th International Conference on Group IV Photonics (GFP), 1-2(2014).

    [106] M. Webster, C. Appel, P. Gothoskar, S. Sunder, B. Dama, K. Shastri. Silicon photonic modulator based on a MOS-capacitor and a CMOS driver. IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), 1-4(2014).

    [107] M. Webster, K. Lakshmikumar, C. Appel, C. Muzio, B. Dama, K. Shastri. Low-power MOS-capacitor based silicon photonic modulators and CMOS drivers. Optical Fiber Communications Conference and Exhibition (OFC), W4H.3(2015).

    [108] D. J. Thomson, W. Zhang, K. Debnath, B. Chen, K. Li, S. Liu, M. Ebert, J. D. Reynolds, F. Meng, A. Z. Khokhar, C. G. Littlejohns, J. Byers, M. K. Husain, F. Y. Gardes, S. Saito, G. T. Reed. High performance silicon optical modulators. International Conference on Transparent Optical Networks (ICTON), Tu.D5.5(2020).

    [109] K. Debnath, D. J. Thomson, W. Zhang, A. Z. Khokhar, C. Littlejohns, J. Byers, L. Mastronard, M. K. Husain, K. Ibukuro, F. Y. Gardes, G. T. Reed, S. Saito. All-silicon carrier accumulation modulator based on a lateral metal-oxide-semiconductor capacitor. Photon. Res., 6, 373-379(2018).

    [110] W. Zhang, K. Debnath, B. Chen, K. Li, S. Liu, M. Ebert, J. D. Reynolds, A. Z. Khokhar, C. Littlejohns, J. Byers, M. K. Husain, F. Y. Gardes, S. Saito, D. J. Thomson. High bandwidth capacitance efficient silicon MOS modulator. J. Lightwave Technol., 39, 201-207(2021).

    [111] K. Goi, K. Ogawa, Y. T. Tan, V. Dixit, S. T. Lim, C. E. Png, T.-Y. Liow, X. Tu, G.-Q. Lo, D.-L. Kwong. Silicon Mach-Zehnder modulator using low-loss phase shifter with bottom PN junction formed by restricted-depth doping. IEICE Electron. Express, 10, 20130552(2013).

    [112] J. Sun, R. Kumar, M. Sakib, J. B. Driscoll, H. Jayatilleka, H. Rong. A 128  Gb/s PAM4 silicon microring modulator with integrated thermo-optic resonance tuning. J. Lightwave Technol., 37, 110-115(2019).

    [113] G. T. Reed, G. Z. Mashanovich, F. Y. Gardes, M. Nedeljkovic, Y. Hu, D. J. Thomson, K. Li, P. R. Wilson, S.-W. Chen, S. S. Hsu. Recent breakthroughs in carrier depletion based silicon optical modulators. Nanophotonics, 3, 229-245(2014).

    [114] Y. Maegami, G. Cong, M. Ohno, M. Okano, K. Itoh, N. Nishiyama, S. Arai, K. Yamada. High-efficiency silicon Mach-Zehnder modulator with vertical PN junction based on fabrication-friendly strip-loaded waveguide. International Conference on Group IV Photonics (GFP), 21-22(2017).

    [115] G. Zhou, L. Zhou, Y. Zhou, Y. Zhong, S. Liu, Y. Guo, L. Liu, J. Chen. Silicon Mach-Zehnder modulator using a highly-efficient L-shape PN junction. Proc. SPIE, 10964, 1096419(2018).

    [116] Z. Yong, W. D. Sacher, Y. Huang, J. C. Mikkelsen, Y. Yang, X. Luo, P. Dumais, D. Goodwill, H. Bahrami, P. G.-Q. Lo, E. Bernier, J. K. S. Poon. U-shaped PN junctions for efficient silicon Mach-Zehnder and microring modulators in the O-band. Opt. Express, 25, 8425-8439(2017).

    [117] X. Xiao, H. Xu, X. Li, Y. Hu, K. Xiong, Z. Li, T. Chu, Y. Yu, J. Yu. 25  Gbit/s silicon microring modulator based on misalignment-tolerant interleaved PN junctions. Opt. Express, 20, 2507-2515(2012).

    [118] E. Timurdogan, C. M. Sorace-Agaskar, J. Sun, E. S. Hosseini, A. Biberman, M. R. Watts. An ultralow power athermal silicon modulator. Nat. Commun., 5, 4008(2014).

    [119] X. Tu, T.-Y. Liow, J. Song, M. Yu, G. Q. Lo. Fabrication of low loss and high speed silicon optical modulator using doping compensation method. Opt. Express, 19, 18029-18035(2011).

    [120] A. Brimont, A. M. Gutierrez, M. Aamer, D. J. Thomson, F. Y. Gardes, J.-M. Fedeli, G. T. Reed, J. Martí, P. Sanchis. Slow-light-enhanced silicon optical modulators under low-drive-voltage operation. IEEE Photon. J., 4, 1306-1315(2012).

    [121] P. Xia, H. Yu, Q. Zhang, X. Wang, Z. Fu, Q. Huang, X. Jiang, J. Yang. Silicon DC Kerr modulator enhanced by slow light for 112  Gbit/s PAM4. Asia Communications and Photonics Conference (ACP), T2I.1(2021).

    [122] C. Han, M. Jin, Y. Tao, B. Shen, H. Shu, X. Wang. Ultra-compact silicon modulator with 110  GHz bandwidth. Optical Fiber Communications Conference and Exhibition (OFC), Th4C.5(2022).

    [123] D. Patel, V. Veerasubramanian, S. Ghosh, A. Samani, Q. Zhong, D. V. Plant. High-speed compact silicon photonic Michelson interferometric modulator. Opt. Express, 22, 26788-26802(2014).

    [124] X. Li, X. Xiao, H. Xu, Z. Li, T. Chu, J. Yu, Y. Yu. Highly efficient silicon Michelson interferometer modulators. IEEE Photon. Technol. Lett., 25, 407-409(2013).

    [125] M. Wang, L. Zhou, H. Zhu, Y. Zhou, Y. Zhong, J. Chen. Low-loss high-extinction-ratio single-drive push-pull silicon Michelson interferometric modulator. Chin. Opt. Lett., 15, 042501(2017).

    [126] Y. Zhou, L. Zhou, H. Zhu, C. Wong, Y. Wen, L. Liu, X. Li, J. Chen. Modeling and optimization of a single-drive push–pull silicon Mach–Zehnder modulator. Photon. Res., 4, 153-161(2016).

    [127] H. Yu, W. Bogaerts. An equivalent circuit model of the traveling wave electrode for carrier-depletion-based silicon optical modulators. J. Lightwave Technol., 30, 1602-1609(2012).

    [128] H. Bahrami, H. Sepehrian, C. S. Park, L. A. Rusch, W. Shi. Time-domain large-signal modeling of traveling-wave modulators on SOI. J. Lightwave Technol., 34, 2812-2823(2016).

    [129] D. Patel, S. Ghosh, M. Chagnon, A. Samani, V. Veerasubramanian, M. Osman, D. V. Plant. Design, analysis, and transmission system performance of a 41  GHz silicon photonic modulator. Opt. Express, 23, 14263-14287(2015).

    [130] X. Wang, W. Shen, W. Li, Y. Liu, Y. Yao, J. Du, Q. Song, K. Xu. High-speed silicon photonic Mach–Zehnder modulator at 2  μm. Photon. Res., 9, 535-540(2021).

    [131] M. S. Alam, X. Li, M. Jacques, Z. Xing, A. Samani, E. El-Fiky, P.-C. Koh, D. V. Plant. Net 220  Gbps/λ IM/DD transmission in O-band and C-band with silicon photonic traveling-wave MZM. J. Lightwave Technol., 39, 4270-4278(2021).

    [132] J. Zhou, J. Wang, L. Zhu, Q. Zhang. High baud rate all-silicon photonics carrier depletion modulators. J. Lightwave Technol., 38, 272-281(2019).

    [133] P. Dong, L. Chen, Y.-K. Chen. High-speed low-voltage single-drive push-pull silicon Mach-Zehnder modulators. Opt. Express, 20, 6163-6169(2012).

    [134] L. Chen, C. R. Doerr, P. Dong, Y.-K. Chen. Monolithic silicon chip with 10 modulator channels at 25  Gbps and 100-GHz spacing. Opt. Express, 19, B946-B951(2011).

    [135] X. Xiao, M. Li, Z. Li, L. Wang, Q. Yang, S. Yu. Substrate removed silicon Mach-Zehnder modulator for high baud rate optical intensity modulations. Optical Fiber Communications Conference and Exhibition (OFC), Th4H.5(2016).

    [136] M. Li, L. Wang, X. Li, X. Xiao, S. Yu. Silicon intensity Mach–Zehnder modulator for single lane 100 Gb/s applications. Photon. Res., 6, 109-116(2018).

    [137] K. Li, S. Liu, D. J. Thomson, W. Zhang, X. Yan, F. Meng, C. G. Littlejohns, H. Du, M. Banakar, M. Ebert, W. Cao, D. Tran, B. Chen, A. Shakoor, P. Petropoulos, G. T. Reed. Electronic–photonic convergence for silicon photonics transmitters beyond 100 Gbps on–off keying. Optica, 7, 1514-1516(2020).

    [138] Q. Liao, M. Li, Z. Zhang, J. Liu, N. Wu, X. Xiao, N. Qi. A 50  Gb/s high-efficiency Si-photonic transmitter with lump-segmented MZM and integrated PAM4 CDR. IEEE Custom Integrated Circuits Conference (CICC), 1-2(2021).

    [139] A. Giuglea, G. Belfiore, M. Khafaji, R. Henker, D. Petousi, G. Winzer, L. Zimmermann, F. Ellinger. Comparison of segmented and traveling-wave electro-optical transmitters based on silicon photonics Mach-Zehnder modulators. Photonics in Switching and Computing (PSC), 1-3(2018).

    [140] S. Fathololoumi, K. Nguyen, H. Mahalingam, M. Sakib, Z. Li, C. Seibert, M. Montazeri, J. Chen, J. K. Doylend, H. Jayatilleka, C. Jan, J. Heck, R. Venables, H. Frish, R. A. Defrees, R. S. Appleton, S. Hollingsworth, S. McCargar, R. Jones, D. Zhu, Y. Akulova, L. Liao. 1.6  Tbps silicon photonics integrated circuit for co-packaged optical-IO switch applications. Optical Fiber Communications Conference and Exhibition (OFC), T3H.1(2020).

    [141] Q. Xu, B. Schmidt, S. Pradhan, M. Lipson. Micrometre-scale silicon electro-optic modulator. Nature, 435, 325-327(2005).

    [142] P. Dong, S. Liao, D. Feng, H. Liang, D. Zheng, R. Shafiiha, C.-C. Kung, W. Qian, G. Li, X. Zheng, A. V. Krishnamoorthy, M. Asghari. Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator. Opt. Express, 17, 22484-22490(2009).

    [143] G. Li, A. V. Krishnamoorthy, I. Shubin, J. Yao, Y. Luo, H. Thacker, X. Zheng, K. Raj, J. E. Cunningham. Ring resonator modulators in silicon for interchip photonic links. IEEE J. Sel. Top. Quantum Electron., 19, 95-113(2013).

    [144] J. Muller, F. Merget, S. S. Azadeh, J. Hauck, S. R. Garcıa, B. Shen, J. Witzens. Optical peaking enhancement in high-speed ring modulators. Sci. Rep., 4, 6310(2014).

    [145] P. Liao, M. Sakib, D. Huang, R. Kumar, X. Wu, C. Ma, G.-L. Su, H. Rong. A 260  Gb/s/λ PDM link with silicon photonic dual-polarization transmitter and polarization demultiplexer. European Conference and Exhibition on Optical Communications (ECOC), 1-4(2021).

    [146] Y. Zhang, H. Zhang, M. Li, P. Feng, L. Wang, X. Xiao, S. Yu. 200  Gbit/s optical PAM4 modulation based on silicon microring modulator. European Conference and Exhibition on Optical Communications (ECOC), Th3A-1(2020).

    [147] M. Sakib, P. Liao, C. Ma, R. Kumar, D. Huang, G.-L. Su, X. Wu, S. Fathololoumi, H. Rong. A high-speed micro-ring modulator for next generation energy-efficient optical networks beyond 100 Gbaud. Conference on Lasers and Electro-Optics (CLEO), SF1C.3(2021).

    [148] D. Zheng, C. Qiu, H. Zhang, X. Jiang, Y. Su. Demonstration of a push-pull silicon dual-ring modulator with enhanced optical modulation amplitude. J. Lightwave Technol., 38, 3694-3700(2020).

    [149] S. Pitris, M. Moralis-Pegios, T. Alexoudi, Y. Ban, P. D. Heyn, J. Van Campenhout, N. Pleros. A 4 × 40 Gb/s O-band WDM silicon photonic transmitter based on micro-ring modulators. Optical Fiber Communications Conference and Exhibition (OFC), W3E.2(2019).

    [150] H. Gevorgyan, A. Khilo, M. T. Wade, V. M. Stojanović, M. A. Popoví. MOSCAP ring modulator with 1.5  μm radius, 8.5  THz FSR and 30  GHz/V shift efficiency in a 45  nm SOI CMOS process. Optical Fiber Communications Conference and Exhibition (OFC), Th5A.3(2021).

    [151] C.-M. Chang, G. de Valicourt, S. Chandrasekhar, P. Dong. Differential microring binary phase-shift keying modulators. European Conference and Exhibition on Optical Communications (ECOC), 1-3(2016).

    [152] P. Dong, C. Xie, L. Chen, N. K. Fontaine, Y.-K. Chen. Experimental demonstration of microring quadrature phase-shift keying modulators. Opt. Lett., 37, 1178-1180(2012).

    [153] P. Dong, C. Xie, L. L. Buhl, Y.-K. Chen. Silicon microring modulators for advanced modulation formats. Optical Fiber Communications Conference and Exhibition (OFC), OW4J.2(2013).

    [154] X. Wu, B. Guan, Q. Xu, C. Doerr, L. Chen. Low-chirp push-pull dual-ring modulator with 144  Gb/s PAM-4 data transmission. Opt. Express, 28, 26492-26498(2020).

    [155] R. Li, D. Patel, E. El-Fiky, A. Samani, Z. Xing, M. Morsy-Osman, D. V. Plant. High-speed low-chirp PAM-4 transmission based on push-pull silicon photonic microring modulators. Opt. Express, 25, 13222-13229(2017).

    [156] Q. Xu, B. Schmidt, J. Shakya, M. Lipson. Cascaded silicon micro-ring modulators for WDM optical interconnection. Opt. Express, 14, 9431-9436(2006).

    [157] H. Li, Z. Xuan, R. Kumar, M. Sakib, J. Sharma, C.-M. Hsu, C. Ma, H. Rong, G. Balamurugan, J. Jaussi. A 4 × 50  Gb/s all-silicon ring-based WDM transceiver with CMOS IC. European Conference on Optical Communication (ECOC), 1-3(2021).

    [158] T. Tanabe, K. Nishiguchi, E. Kuramochi, M. Notomi. Low power and fast electro-optic silicon modulator with lateral p-i-n embedded photonic crystal nanocavity. Opt. Express, 17, 22505-22513(2009).

    [159] A. Shakoor, K. Nozaki, E. Kuramochi, K. Nishiguchi, A. Shinya, M. Notomi. Compact 1D-silicon photonic crystal electro- optic modulator operating with ultra-low switching voltage and energy. Opt. Express, 22, 28623-28634(2014).

    [160] K. A. Qubaisi, D. Onural, H. Gevorgyan, M. A. Popoví. Photonic crystal modulator in a CMOS foundry platform. Optical Fiber Communications Conference and Exhibition (OFC), F4B.1(2021).

    [161] Y. Zhang, D. Wu, L. Wang, X. Xiao. 70  Gbit/s optical NRZ modulation based on silicon photonic crystal modulator. Asia Communications and Photonics Conference (ACP), T2D.3(2021).

    [162] H. Li, G. Balamurugan, T. Kim, M. N. Sakib, R. Kumar, H. Rong, J. Jaussi, B. Casper. A 3-D-integrated silicon photonic microring-based 112-Gb/s PAM-4 transmitter with nonlinear equalization and thermal control. IEEE J. Solid-State Circuits, 56, 19-29(2021).

    [163] Y. Zhang, Y. Shi. Temperature insensitive lower-index-mode photonic crystal nanobeam cavity. Opt. Lett., 40, 264-267(2015).

    [164] F. Zhang, Y. Zhu, F. Yang, L. Zhang, X. Ruan, Y. Li, Z. Chen. Up to single lane 200G optical interconnects with silicon photonic modulator. Optical Fiber Communications Conference and Exhibition (OFC), Th4A.6(2019).

    [165] S. Zhalehpour, M. Guo, J. Lin, Z. Zhang, Y. Qiao, W. Shi, L. A. Rusch. System optimization of an all-silicon IQ modulator: achieving 100  Gbaud dual polarization 32QAM. J. Lightwave Technol., 38, 256-264(2019).

    [166] J. Zhou, J. Wang, L. Zhu, Q. Zhang. Silicon photonics for 100 Gbaud. J. Lightwave Technol., 39, 857-867(2020).

    [167] M. S. Alam, X. Li, M. Jacques, E. Berikaa, P.-C. Koh, D. V. Plant. Net 300  Gbps/λ transmission over 2  km of SMF with a silicon photonic Mach-Zehnder modulator. IEEE Photon. Technol. Lett., 33, 1391-1394(2021).

    [168] F. Hu, Y. Zhang, H. Zhang, Z. Li, S. Xing, J. Shi, J. Zhang, X. Xiao, N. Chi, Z. He, S. Yu. Beyond 300  Gbps silicon microring modulator with AI acceleration(2021).

    [169] X. Wu, Z. Hu, Y. Tong, D. Huang, C.-K. Chan, J. Bowers, H. K. Tsang. 256  Gb/s PAM4 signal transmission with microring modulator based monolithic dual-polarization silicon transmitter. Asia Communications and Photonics Conference (ACP), T2D.3(2019).

    [170] F. Zhang, L. Zhang, X. Ruan, F. Yang, H. Ming, Y. Li. High baud rate transmission with silicon photonic modulators. IEEE J. Sel. Top. Quantum Electron., 27, 8300709(2021).

    [171] A. Boes, B. Corcoran, L. Chang, J. Bowers, A. Mitchell. Status and potential of lithium niobate on insulator (LNOI) for photonic integrated circuits. Laser Photon. Rev., 12, 1700256(2018).

    [172] C. Wang, M. Zhang, X. Chen, M. Bertrand, A. Shams-Ansari, S. Chandrasekhar, P. Winzer, M. Lončar. Integrated lithium niobate electro-optic modulators operating at CMOS-compatible voltages. Nature, 562, 101-104(2018).

    [173] P. Kharel, C. Reimer, K. Luke, L. He, M. Zhang. Breaking voltage–bandwidth limits in integrated lithium niobate modulators using micro-structured electrodes. Optica, 8, 357-363(2021).

    [174] B. Pan, J. Hu, Y. Huang, L. Song, J. Wang, P. Chen, Z. Yu, L. Liu, D. Dai. Demonstration of high-speed thin-film lithium-niobate-on-insulator optical modulators at the 2-μm wavelength. Opt. Express, 29, 17710-17717(2021).

    [175] L. Chen, Q. Xu, M. G. Wood, R. M. Reano. Hybrid silicon and lithium niobate electro-optical ring modulator. Optica, 1, 112-118(2014).

    [176] P. O. Weigel, J. Zhao, K. Fang, H. Al-Rubaye, D. Trotter, D. Hood, J. Mudrick, C. Dallo, A. T. Pomerene, A. L. Starbuck, C. T. DeRose, A. L. Lentine, G. Rebeiz, S. Mookherjea. Bonded thin film lithium niobate modulator on a silicon photonics platform exceeding 100  GHz 3-dB electrical modulation bandwidth. Opt. Express, 26, 23728-23739(2018).

    [177] M. He, M. Xu, Y. Ren, J. Jian, Z. Ruan, Y. Xu, S. Gao, S. Sun, X. Wen, L. Zhou, L. Liu, C. Guo, H. Chen, S. Yu, L. Liu, X. Cai. High-performance hybrid silicon and lithium niobate Mach–Zehnder modulators for 100  Gbit s-1 and beyond. Nat. Photonics, 13, 359-364(2019).

    [178] C. Zhang, D. Huang, J. E. Bowers, P. Pintus, P. Morton, T. Mizumoto, Y. Shoji. Dynamically reconfigurable integrated optical circulators. Optica, 4, 23-30(2017).

    [179] N. Margalit, C. Xiang, S. M. Bowers, A. Bjorlin, R. Blum, J. E. Bowers. Perspective on the future of silicon photonics and electronics. Appl. Phys. Lett., 118, 220501(2021).

    [180] . Silicon photonics and photonic integrated circuits.

    [181] R. Jones, P. Doussiere, J. B. Driscoll, W. Lin, H. Yu, Y. Akulova, T. Komljenovic, J. E. Bowers. Heterogeneously integrated InP/silicon photonics: fabricating fully functional transceivers. IEEE Nanotechnol. Mag., 13, 17-26(2019).

    [182] J. Wang, F. Sciarrino, A. Laing, M. G. Thompson. Integrated photonic quantum technologies. Nat. Photonics, 14, 273-284(2019).

    [183] B. J. Shastri, A. N. Tait, T. Ferreira de Lima, W. H. P. Pernice, H. Bhaskaran, C. D. Wright, P. R. Prucnal. Photonics for artificial intelligence and neuromorphic computing. Nat. Photonics, 15, 102-114(2021).

    [184] R. Won. Integrating silicon photonics. Nat. Photonics, 4, 498-499(2010).

    [185] D. Liang, J. E. Bowers, D. Liang, J. E. Bowers. Recent progress in heterogeneous III–V-on-silicon photonic integration. Light Adv. Manuf., 2, 59-83(2021).

    [186] C. Xiang, W. Jin, D. Huang, M. A. Tran, J. Guo, Y. Wan, W. Xie, G. Kurczveil, A. Netherton, D. Liang, H. Rong, J. E. Bowers. High-performance silicon photonics using heterogeneous integration. IEEE J. Sel. Top. Quantum Electron., 28, 8200515(2021).

    [187] G. Roelkens, L. Liu, D. Liang, R. Jones, A. Fang, B. Koch, J. Bowers. III–V/silicon photonics for on-chip and intra-chip optical interconnects. Laser Photon. Rev., 4, 751-779(2010).

    [188] J. M. Ramirez, H. Elfaiki, T. Verolet, C. Besancon, A. Gallet, D. Néel, K. Hassan, S. Olivier, C. Jany, S. Malhouitre, K. Gradkowski, P. E. Morrissey, P. O’Brien, C. Caillaud, N. Vaissière, J. Decobert, S. Lei, R. Enright, A. Shen, M. Achouche. III–V-on-silicon integration: from hybrid devices to heterogeneous photonic integrated circuits. IEEE J. Sel. Top. Quantum Electron., 26, 6100213(2020).

    [189] T. K. Shinji Matsuo. Low-operating-energy directly modulated lasers for shortdistance optical interconnects. Adv. Opt. Photon., 10, 567-643(2018).

    [190] S. J. Zhang, Y. Liu, R. G. Lu, B. Sun, L. S. Yan. Heterogeneous III–V silicon photonic integration: components and characterization. Front. Inf. Technol. Electron. Eng., 20, 472-480(2019).

    [191] S. Fathpour. Emerging heterogeneous integrated photonic platforms on silicon. Nanophotonics, 4, 143-164(2015).

    [192] M. A. Tran, D. Huang, T. Komljenovic, J. Peters, A. Malik, J. E. Bowers. Ultra-low-loss silicon waveguides for heterogeneously integrated silicon III–V photonics. Appl. Sci., 8, 1139(2018).

    [193] W. Jin, Q. F. Yang, L. Chang, B. Shen, H. Wang, M. A. Leal, L. Wu, M. Gao, A. Feshali, M. Paniccia, K. J. Vahala, J. E. Bowers. Hertz-linewidth semiconductor lasers using CMOS-ready ultra-high-Q microresonators. Nat. Photonics, 15, 346-353(2021).

    [194] C. T. Santis, Y. Vilenchik, A. Yariv, N. Satyan, G. Rakuljic. Sub-kHz quantum linewidth semiconductor laser on silicon chip. CLEO: Science and Innovations, JTh5A.7(2015).

    [195] M. A. Tran, D. Huang, J. Guo, T. Komljenovic, P. A. Morton, J. E. Bowers. Ring-resonator based widely-tunable narrow-linewidth Si/InP integrated lasers. IEEE J. Sel. Top. Quantum Electron., 26, 1500514(2019).

    [196] C. Xiang, W. Jin, J. Guo, J. D. Peters, M. Kennedy, J. Selvidge, P. A. Morton, J. E. Bowers. A narrow-linewidth III–V/Si/Si3N4 laser using multilayer heterogeneous integration. Optica, 7, 20-21(2019).

    [197] P. A. Morton, M. J. Morton. High-power, ultra-low noise hybrid lasers for microwave photonics and optical sensing. J. Lightwave Technol., 36, 5048-5057(2018).

    [198] C. Zhang, H. Park, M. A. Tran, T. Komljenovic. Heterogeneous silicon nitride photonics. Optica, 7, 336-337(2020).

    [199] A. Spott, C. D. Merritt, C. S. Kim, D. Botez, E. J. Stanton, I. Vurgaftman, J. Kirch, J. R. Meyer, J. E. Bowers, J. Peters, L. J. Mawst, M. L. Davenport, W. W. Bewley. Quantum cascade laser on silicon. Optica, 3, 545-551(2016).

    [200] Y. Wan, C. Xiang, J. Guo, R. Koscica, M. Kennedy, J. Selvidge, Z. Zhang, L. Chang, W. Xie, D. Huang, A. C. Gossard, J. E. Bowers. High speed evanescent quantum-dot lasers on Si. Laser Photon. Rev., 15, 2100057(2021).

    [201] T. Kamei, T. Kamikawa, M. Araki, S. P. DenBaars, S. Nakamura, J. E. Bowers. Research toward a heterogeneously integrated InGaN laser on silicon. Phys. Status solidi, 217, 1900770(2020).

    [202] A. Malik, A. Spott, E. J. Stanton, J. D. Peters, J. D. Kirch, L. J. Mawst, D. Botez, J. R. Meyer, J. E. Bowers. Integration of mid-infrared light sources on silicon-based waveguide platforms in 3.5–4.7  μm wavelength range. IEEE J. Sel. Top. Quantum Electron., 25, 1502809(2019).

    [203] C. Shang, Y. Wan, J. Selvidge, E. Hughes, R. Herrick, K. Mukherjee, J. Duan, F. Grillot, W. W. Chow, J. E. Bowers. Perspectives on advances in quantum dot lasers and integration with Si photonic integrated circuits. ACS Photon., 8, 2555-2566(2021).

    [204] Y. Wan, J. Norman, S. Liu, A. Liu, J. E. Bowers. Quantum dot lasers and amplifiers on silicon: recent advances and future developments. IEEE Nanotechnol. Mag., 15, 8-22(2021).

    [205] S. Hepp, M. Jetter, S. L. Portalupi, P. Michler. Semiconductor quantum dots for integrated quantum photonics. Adv. Quantum Technol., 2, 1900020(2019).

    [206] J. C. Norman, D. Jung, Y. Wan, J. E. Bowers. Perspective: the future of quantum dot photonic integrated circuits. APL Photon., 3, 030901(2018).

    [207] C. Shang, E. Hughes, Y. Wan, M. Dumont, R. Koscica, J. Selvidge, R. Herrick, A. C. Gossard, K. Mukherjee, J. E. Bowers. High-temperature reliable quantum-dot lasers on Si with misfit and threading dislocation filters. Optica, 8, 749-754(2021).

    [208] G. Kurczveil, D. Liang, M. Fiorentino, R. G. Beausoleil. Robust hybrid quantum dot laser for integrated silicon photonics. Opt. Express, 24, 16167-16174(2016).

    [209] S. Uvin, S. Kumari, A. De Groote, S. Verstuyft, G. Lepage, P. Verheyen, J. Van Campenhout, G. Morthier, D. Van Thourhout, G. Roelkens. 1.3  μm InAs/GaAs quantum dot DFB laser integrated on a Si waveguide circuit by means of adhesive die-to-wafer bonding. Opt. Express, 26, 18302-18309(2018).

    [210] D. Liang, S. Srinivasan, A. Descos, C. Zhang, G. Kurczveil, Z. Huang, R. Beausoleil. High-performance quantum-dot distributed feedback laser on silicon for high-speed modulations. Optica, 8, 591-593(2021).

    [211] A. Malik, J. Guo, M. A. Tran, G. Kurczveil, D. Liang, J. E. Bowers. Widely tunable, heterogeneously integrated quantum-dot O-band lasers on silicon. Photon. Res., 8, 1551-1557(2020).

    [212] M. Osinski, J. Buus. Linewidth broadening factor in semiconductor lasers–An overview. IEEE J. Quantum Electron., 23, 9-29(1987).

    [213] Y. Wan, S. Zhang, J. C. Norman, M. J. Kennedy, W. He, Y. Tong, C. Shang, J. J. He, H. K. Tsang, A. C. Gossard, J. E. Bowers. Directly modulated single-mode tunable quantum dot lasers at 1.3 μm. Laser Photon. Rev., 14, 1900348(2020).

    [214] Y. Ding, Z. Lv, Z. Zhang, H. Yuan, T. Yang. Single longitudinal mode GaAs-based quantum dot laser with refractive index perturbation. Appl. Opt., 59, 1648-1653(2020).

    [215] J. Duan, H. Huang, B. Dong, D. Jung, J. C. Norman, J. E. Bowers, F. Grillot. 1.3-μm reflection insensitive InAs/GaAs quantum dot lasers directly grown on silicon. IEEE Photon. Technol. Lett., 31, 345-348(2019).

    [216] C. Shang, J. Selvidge, E. Hughes, J. C. Norman, A. A. Taylor, A. C. Gossard, K. Mukherjee, J. E. Bowers. A pathway to thin GaAs virtual substrate on on-axis Si(001) with ultralow threading dislocation density. Phys. Status Solidi, 218, 2000402(2021).

    [217] S. Chen, W. Li, J. Wu, Q. Jiang, M. Tang, S. Shutts, S. N. Elliott, A. Sobiesierski, A. J. Seeds, I. Ross, P. M. Smowton, H. Liu. Electrically pumped continuous-wave III–V quantum dot lasers on silicon. Nat. Photonics, 10, 307-311(2016).

    [218] T. Zhou, M. Tang, G. Xiang, B. Xiang, S. Hark, M. Martin, T. Baron, S. Pan, J. S. Park, Z. Liu, S. Chen, Z. Zhang, H. Liu. Continuous-wave quantum dot photonic crystal lasers grown on on-axis Si (001). Nat. Commun., 11, 1(2020).

    [219] Y. Wan, J. Norman, Q. Li, M. J. Kennedy, D. Liang, C. Zhang, D. Huang, Z. Zhang, A. Y. Liu, A. Torres, D. Jung, A. C. Gossard, E. L. Hu, K. M. Lau, J. E. Bowers. 1.3 μm submilliamp threshold quantum dot micro-lasers on Si. Optica, 4, 940-944(2017).

    [220] S. Liu, X. Wu, D. Jung, J. C. Norman, M. J. Kennedy, H. K. Tsang, A. C. Gossard, J. E. Bowers. High-channel-count 20  GHz passively mode-locked quantum dot laser directly grown on Si with 41  Tbit/s transmission capacity. Optica, 6, 128-134(2019).

    [221] Y. Wan, Z. Zhang, R. Chao, J. Norman, D. Jung, C. Shang, Q. Li, M. Kennedy, D. Liang, C. Zhang, J.-W. Shi, A. C. Gossard, K. M. Lau, J. E. Bowers. Monolithically integrated InAs/InGaAs quantum dot photodetectors on silicon substrates. Opt. Express, 25, 27715-27723(2017).

    [222] S. Liu, J. Norman, M. Dumont, D. Jung, A. Torres, A. C. C. Gossard, J. E. E. Bowers, S. Liu, A. Torres, A. C. C. Gossard, J. E. E. Bowers, S. Liu, D. Jung, A. C. C. Gossard, J. E. E. Bowers, J. Norman, M. Dumont, A. C. C. Gossard, J. E. E. Bowers, D. Jung. High-performance O-band quantum-dot semiconductor optical amplifiers directly grown on a CMOS compatible silicon substrate. ACS Photon., 6, 2523-2529(2019).

    [223] Y. Wan, J. C. Norman, Y. Tong, M. J. Kennedy, W. He, J. Selvidge, C. Shang, M. Dumont, A. Malik, H. K. Tsang, A. C. Gossard, J. E. Bowers. 1.3  μm quantum dot-distributed feedback lasers directly grown on (001) Si. Laser Photon. Rev., 14, 2000037(2020).

    [224] Y. Shi, Z. Wang, J. Van Campenhout, M. Pantouvaki, W. Guo, B. Kunert, A. Dries Van Thourhout, D. Van Thourhout. Optical pumped InGaAs GaAs nano-ridge laser epitaxially grown on a standard 300-mm Si wafer. Optica, 4, 1468-1473(2017).

    [225] Y. Y. Han, Z. Yan, W. K. Ng, Y. Xue, K. S. Wong, K. M. Lau. Bufferless 1.5  μm III–V lasers grown on Si-photonics 220  nm silicon-on-insulator platforms. Optica, 7, 148-153(2020).

    [226] L. Chen, M. Lipson. Ultra-low capacitance and high speed germanium photodetectors on silicon. Opt. Express, 17, 7901-7906(2009).

    [227] G. Masini, S. Sahni, G. Capellini, J. Witzens, C. Gunn. High-speed near infrared optical receivers based on Ge waveguide photodetectors integrated in a CMOS process. Adv. Opt. Technol., 2008, 196572(2008).

    [228] J. Joo, S. Kim, I. G. Kim, K. S. Jang, G. Kim. High-sensitivity 10  Gbps Ge-on-Si photoreceiver operating at lambda similar to 1.55  μm. Opt. Express, 18, 16474-16479(2010).

    [229] D. Ahn, C. Y. Hong, J. F. Liu, W. Giziewicz, M. Beals, L. C. Kimerling, J. Michel, J. Chen, F. X. Kartner. High performance, waveguide integrated Ge photodetectors. Opt. Express, 15, 3916-3921(2007).

    [230] D. Ahn, L. C. Kimerling, J. Michel. Efficient evanescent wave coupling conditions for waveguide-integrated thin-film Si/Ge photodetectors on silicon-on-insulator/germanium-on-insulator substrates. J. Appl. Phys., 110, 083115(2011).

    [231] L. Vivien, A. Polzer, D. Marris-Morini, J. Osmond, J. M. Hartmann, P. Crozat, E. Cassan, C. Kopp, H. Zimmermann, J. M. Fedeli. Zero-bias 40  Gbit/s germanium waveguide photodetector on silicon. Opt. Express, 20, 1096-1101(2012).

    [232] D. Benedikovic, L. Virot, G. Aubin, J. M. Hartmann, F. Amar, B. Szelag, X. Le Roux, C. Alonso-Ramos, P. Crozat, E. Cassan, D. Marris-Morini, C. Baudot, F. Boeuf, J. M. Fedeli, C. Kopp, L. Vivien. Comprehensive study on chip-integrated germanium pin photodetectors for energy-efficient silicon interconnects. IEEE J. Quantum Electron., 56, 8400409(2020).

    [233] A. Novack, M. Gould, Y. Yang, Z. Xuan, M. Streshinsky, Y. Liu, G. Capellini, A. E.-J. Lim, G.-Q. Lo, T. Baehr-Jones. Germanium photodetector with 60 GHz bandwidth using inductive gain peaking. Opt. Express, 21, 28387-28393(2013).

    [234] N. A. DiLello, D. K. Johnstone, J. L. Hoyt. Characterization of dark current in Ge-on-Si photodiodes. J. Appl. Phys., 112, 054506(2012).

    [235] M. Currie, S. Samavedam, T. Langdo, C. Leitz, E. Fitzgerald. Controlling threading dislocation densities in Ge on Si using graded SiGe layers and chemical-mechanical polishing. Appl. Phys. Lett., 72, 1718-1720(1998).

    [236] M. Rouvière, L. Vivien, X. Le Roux, J. Mangeney, P. Crozat, C. Hoarau, E. Cassan, D. Pascal, S. Laval, J.-M. Fédéli. Ultrahigh speed germanium-on-silicon-on-insulator photodetectors for 1.31 and 1.55  μm operation. Appl. Phys. Lett., 87, 231109(2005).

    [237] H.-C. Luan, D. R. Lim, K. K. Lee, K. M. Chen, J. G. Sandland, K. Wada, L. C. Kimerling. High-quality Ge epilayers on Si with low threading-dislocation densities. Appl. Phys. Lett., 75, 2909-2911(1999).

    [238] M. Beals, J. Michel, J. Liu, D. Ahn, D. Sparacin, R. Sun, C. Hong, L. Kimerling, A. Pomerene, D. Carothers. Process flow innovations for photonic device integration in CMOS. Proc. SPIE, 6898, 689804(2008).

    [239] J. Osmond, G. Isella, D. Chrastina, R. Kaufmann, M. Acciarri, H. Von Känel. Ultralow dark current Ge/Si (100) photodiodes with low thermal budget. Appl. Phys. Lett., 94, 201106(2009).

    [240] M. Jutzi, M. Berroth, G. Wohl, M. Oehme, E. Kasper. Ge-on-Si vertical incidence photodiodes with 39-GHz bandwidth. IEEE Photon. Technol. Lett., 17, 1510-1512(2005).

    [241] M. Morse, O. Dosunmu, G. Sarid, Y. Chetrit. Performance of Ge-on-Si pin photodetectors for standard receiver modules. IEEE Photon. Technol. Lett., 18, 2442-2444(2006).

    [242] M. J. Byrd, E. Timurdogan, Z. Su, C. V. Poulton, N. M. Fahrenkopf, G. Leake, D. D. Coolbaugh, M. R. Watts. Mode-evolution-based coupler for high saturation power Ge-on-Si photodetectors. Opt. Lett., 42, 851-854(2017).

    [243] H. Chen, P. Verheyen, P. De Heyn, G. Lepage, J. De Coster, S. Balakrishnan, P. Absil, W. Yao, L. Shen, G. Roelkens. –1  V bias 67  GHz bandwidth Si-contacted germanium waveguide pin photodetector for optical links at 56 Gbps and beyond. Opt. Express, 24, 4622-4631(2016).

    [244] C. T. DeRose, D. C. Trotter, W. A. Zortman, A. L. Starbuck, M. Fisher, M. R. Watts, P. S. Davids. Ultra compact 45  GHz CMOS compatible germanium waveguide photodiode with low dark current. Opt. Express, 19, 24897-24904(2011).

    [245] M. Huang, S. Li, P. Cai, G. Hou, T.-I. Su, W. Chen, C.-Y. Hong, D. Pan. Germanium on silicon avalanche photodiode. IEEE J. Sel. Top. Quantum Electron., 24, 3800911(2017).

    [246] G. Chen, Y. Yu, S. Deng, L. Liu, X. Zhang. Bandwidth improvement for germanium photodetector using wire bonding technology. Opt. Express, 23, 25700-25706(2015).

    [247] M. M. P. Fard, G. Cowan, O. Liboiron-Ladouceur. Responsivity optimization of a high-speed germanium-on-silicon photodetector. Opt. Express, 24, 27738-27752(2016).

    [248] L. Virot, D. Benedikovic, B. Szelag, C. Alonso-Ramos, B. Karakus, J.-M. Hartmann, X. Le Roux, P. Crozat, E. Cassan, D. Marris-Morini. Integrated waveguide PIN photodiodes exploiting lateral Si/Ge/Si heterojunction. Opt. Express, 25, 19487-19496(2017).

    [249] G. Chen, Y. Yu, X. Xiao, X. Zhang. High speed and high power polarization insensitive germanium photodetector with lumped structure. Opt. Express, 24, 10030-10039(2016).

    [250] D. Zhou, G. Chen, S. Fu, Y. Zuo, Y. Yu. Germanium photodetector with distributed absorption regions. Opt. Express, 28, 19797-19807(2020).

    [251] Z. Jiang, Y. Yu, Y. Wang, D. Zhou, W. Deng, X. Zhang. High-power Si-Ge photodiode assisted by doping regulation. Opt. Express, 29, 7389-7397(2021).

    [252] Y. Zuo, Y. Yu, Y. Zhang, D. Zhou, X. Zhang. Integrated high-power germanium photodetectors assisted by light field manipulation. Opt. Lett., 44, 3338-3341(2019).

    [253] D. Benedikovic, L. Virot, G. Aubin, F. Amar, B. Szelag, B. Karakus, J.-M. Hartmann, C. Alonso-Ramos, X. Le Roux, P. Crozat. 25  Gbps low-voltage hetero-structured silicon-germanium waveguide pin photodetectors for monolithic on-chip nanophotonic architectures. Photon. Res., 7, 437-444(2019).

    [254] X. Hu, D. Wu, H. Zhang, W. Li, D. Chen, L. Wang, X. Xiao, S. Yu. High-speed lateral PIN germanium photodetector with 4-directional light input. Opt. Express, 28, 38343-38354(2020).

    [255] J. Cui, Z. Zhou. High-performance Ge-on-Si photodetector with optimized DBR location. Opt. Lett., 42, 5141-5144(2017).

    [256] B. Wang, Z. Huang, Y. Yuan, D. Liang, X. Zeng, M. Fiorentino, R. G. Beausoleil. 64  Gb/s low-voltage waveguide SiGe avalanche photodiodes with distributed Bragg reflectors. Photon. Res., 8, 1118-1123(2020).

    [257] Y. Yuan, Z. Huang, X. Zeng, D. Liang, W. V. Sorin, M. Fiorentino, R. Beausoleil. High responsivity Si-Ge waveguide avalanche photodiodes enhanced by loop reflector. IEEE J. Sel. Top. Quantum Electron., 28, 3800508(2021).

    [258] L. Alloatti, R. J. Ram. Resonance-enhanced waveguide-coupled silicon-germanium detector. Appl. Phys. Lett., 108, 071105(2016).

    [259] C.-H. Lin, D.-W. Huang, T.-T. Shih, H.-C. Kuo, S.-W. Chang. Increasing responsivity-bandwidth margin of germanium waveguide photodetector with simple corner reflector. Opt. Express, 29, 10364-10373(2021).

    [260] J.-M. Lee, M. Kim, W.-Y. Choi. Series resistance influence on performance of waveguide-type germanium photodetectors on silicon. Chin. Opt. Lett., 15, 100401(2017).

    [261] Y. Shi, D. Zhou, Y. Yu, X. Zhang. 80  GHz germanium waveguide photodiode enabled by parasitic parameter engineering. Photon. Res., 9, 605-609(2021).

    [262] S. Lischke, D. Knoll, C. Mai, L. Zimmermann, A. Peczek, M. Kroh, A. Trusch, E. Krune, K. Voigt, A. Mai. High bandwidth, high responsivity waveguide-coupled germanium pin photodiode. Opt. Express, 23, 27213-27220(2015).

    [263] S. Lischke, A. Peczek, J. Morgan, K. Sun, D. Steckler, Y. Yamamoto, F. Korndörfer, C. Mai, S. Marschmeyer, M. Fraschke. Ultra-fast germanium photodiode with 3-dB bandwidth of 265  GHz. Nat. Photonics, 15, 925-931(2021).

    [264] S. A. Srinivasan, M. Berciano, P. De Heyn, S. Lardenois, M. Pantouvaki, J. Van Campenhout. 27  GHz silicon-contacted waveguide-coupled Ge/Si avalanche photodiode. J. Lightwave Technol., 38, 3044-3050(2020).

    [265] S. A. Srinivasan, J. Lambrecht, D. Guermandi, S. Lardenois, M. Berciano, P. Absil, J. Bauwelinck, X. Yin, M. Pantouvaki, J. Van Campenhout. 56  Gb/s NRZ O-band hybrid BiCMOS-silicon photonics receiver using Ge/Si avalanche photodiode. J. Lightwave Technol., 39, 1409-1415(2020).

    [266] X. Zeng, Z. Huang, B. Wang, D. Liang, M. Fiorentino, R. G. Beausoleil. Silicon–germanium avalanche photodiodes with direct control of electric field in charge multiplication region. Optica, 6, 772-777(2019).

    [267] S. Assefa, F. Xia, Y. A. Vlasov. Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects. Nature, 464, 80-84(2010).

    [268] L. Virot, P. Crozat, J.-M. Fédéli, J.-M. Hartmann, D. Marris-Morini, E. Cassan, F. Boeuf, L. Vivien. Germanium avalanchereceiver for low power interconnects. Nat. Commun., 5, 4957(2014).

    [269] Y. Kang, H.-D. Liu, M. Morse, M. J. Paniccia, M. Zadka, S. Litski, G. Sarid, A. Pauchard, Y.-H. Kuo, H.-W. Chen. Monolithic germanium/silicon avalanche photodiodes with 340  GHz gain–bandwidth product. Nat. Photonics, 3, 59-63(2009).

    [270] Y. Kang, Z. Huang, Y. Saado, J. Campbell, A. Pauchard, J. Bowers, M. Paniccia. High performance Ge/Si avalanche photodiodes development in Intel. Optical Fiber Communication Conference (OFC), OWZ1(2011).

    [271] Z. Huang, C. Li, D. Liang, K. Yu, C. Santori, M. Fiorentino, W. Sorin, S. Palermo, R. G. Beausoleil. 25  Gbps low-voltage waveguide Si–Ge avalanche photodiode. Optica, 3, 793-798(2016).

    [272] M. Huang, P. Cai, S. Li, L. Wang, T.-I. Su, L. Zhao, W. Chen, C.-Y. Hong, D. Pan. Breakthrough of 25  Gb/s germanium on silicon avalanche photodiode. Optical Fiber Communication Conference (OFC), Tu2D.2(2016).

    [273] G. Kim, S. Kim, S. A. Kim, J. H. Oh, K. S. Jang. NDR-effect vertical-illumination-type Ge-on-Si avalanche photodetector. Opt. Lett., 43, 5583-5586(2018).

    [274] S. Park, Y. Malinge, O. Dosunmu, G. Lovell, S. Slavin, K. Magruder, Y. Kang, A. Liu. 50-Gbps receiver subsystem using Ge/Si avalanche photodiode and integrated bypass capacitor. Optical Fiber Communications Conference and Exhibition (OFC), M3A.3(2019).

    [275] A. Samani, O. Carpentier, E. El-Fiky, M. Jacques, A. Kumar, Y. Wang, L. Guenin, C. Gamache, P.-C. Koh, D. V. Plant. Highly sensitive, 112  Gb/s O-band waveguide coupled silicon-germanium avalanche photodetectors. Optical Fiber Communication Conference (OFC), Th3B.1(2019).

    [276] B. Shi, F. Qi, P. Cai, X. Chen, Z. He, Y. Duan, G. Hou, T. Su, S. Li, W. Chen. 106  Gb/s normal-incidence Ge/Si avalanche photodiode with high sensitivity. Optical Fiber Communications Conference and Exhibition (OFC), M3D.2(2020).

    [277] C. Doerr, L. Chen. Silicon photonics in optical coherent systems. Proc. IEEE, 106, 2291-2301(2018).

    [278] S. Bernabé, Q. Wilmart, K. Hasharoni, K. Hassan, Y. Thonnart, P. Tissier, Y. Désières, S. Olivier, T. Tekin, B. Szelag. Silicon photonics for terabit/s communication in data centers and exascale computers. Solid-State Electron., 179, 107928(2021).

    [279] https://ark.intel.com/content/www/us/en/ark/products/series/96621/intel-silicon-photonics-pluggable-optical-transceivers.html. https://ark.intel.com/content/www/us/en/ark/products/series/96621/intel-silicon-photonics-pluggable-optical-transceivers.html

    [280] E. El-Fiky, A. Samani, D. Patel, M. Jacques, M. Sowailem, D. V. Plant. 400  Gb/s O-band silicon photonic transmitter for intra-datacenter optical interconnects. Opt. Express, 27, 10258-10268(2019).

    [281] H. Yu, P. Doussiere, D. Patel, W. Lin, K. Al-hemyari, J. Park, C. Jan, R. Herrick, I. Hoshino, L. Busselle, M. Bresnehan, A. Bowles, G. A. Ghiurcan, H. Frish, S. Yerkes, R. Venables, P. Seddighian, X. Serey, K. Nguyen, A. Banerjee, S. A. Asl, Q. Zhu, S. Gupta, A. Fuerst, A. Dahal, J. Chen, Y. Malinge, H. Mahalingam, M. Kwon, S. Gupta, A. Agrawal, R. Narayan, M. Favaro, D. Zhu, Y. Akulova. 400  Gbps fully integrated DR4 silicon photonics transmitter for data center applications. Optical Fiber Communications Conference and Exhibition (OFC), T3H.6(2020).

    [282] E. Timurdogan, Z. Su, R.-J. Shiue, M. J. Byrd, C. V. Poulton, K. Jabon, C. DeRose, B. R. Moss, E. S. Hosseini, I. Duzevik, M. Whitson, R. P. Millman, D. A. Atlas, M. R. Watts. 400G silicon photonics integrated circuit transceiver chipsets for CPO, OBO, and pluggable modules. Optical Fiber Communication Conference (OFC), T3H.2(2020).

    [283] X. Zhang, D. Zheng, Z. Ying, Y. Li, M. Ding, D. Lam, S. Tu, R. Wu, X. Zhang, Y. Sun, X. Wang, X. Huang, T. Wang. Integrated silicon photonics transmitter in 400GBASE-DR4 QSFP-DD transceiver. Optical Fiber Communication Conference (OFC), M3A.2(2021).

    [284] Y. Zhao. Silicon photonic based stacked die assembly for 4 × 200-Gbit/s short-reach transmission. Optical Fiber Communication Conference (OFC), F2F.2(2021).

    [285] S. Pitris, C. Mitsolidou, M. Moralis-Pegios, K. Fotiadis, Y. Ban, P. De Heyn, J. Van Campenhout, J. Lambrecht, H. Ramon, X. Yin, J. Bauwelinck, N. Pleros, T. Alexoudi. 400  Gb/s silicon photonic transmitter and routing WDM technologies for glueless 8-socket chip-to-chip interconnects. J. Lightwave Technol., 38, 3366-3375(2020).

    [286] H. Zhang, M. Li, Y. Zhang, D. Zhang, Q. Liao, J. He, S. Yu. 800  Gbit/s transmission over 1 km single-mode fiber using a four-channel silicon photonic transmitter. Photon. Res., 8, 1776-1782(2020).

    [287] S. Fathololoumi, D. Hui, S. Jadhav, J. Chen, K. Nguyen, M. N. Sakib, Z. Li, H. Mahalingam, S. Amiralizadeh, N. N. Tang, H. Potluri, M. Montazeri, H. Frish, R. A. Defrees, C. Seibert, A. Krichevsky, J. K. Doylend, J. Heck, R. Venables, A. Dahal, A. Awujoola, A. Vardapetyan, G. Kaur, M. Cen, V. Kulkarni, S. S. Islam, R. L. Spreitzer, S. Garag, A. C. Alduino, R. K. Chiou, L. Kamyab, S. Gupta, B. Xie, R. S. Appleton, S. Hollingsworth, S. McCargar, Y. Akulova, K. M. Brown, R. Jones, D. Zhu, T. Liljeberg, L. Liao. 1.6 Tbps silicon photonics integrated circuit and 800  Gbps photonic engine for switch co-packaging demonstration. J. Lightwave Technol., 39, 1155-1161(2021).

    [288] M. Wade. An error-free 1 Tbps WDM optical I/O chiplet and multi-wavelength multi-port laser. Optical Fiber Communication Conference (OFC), F3C.6(2021).

    [289] https://acacia-inc.com/product/coherent-interconnect-module-8/. https://acacia-inc.com/product/coherent-interconnect-module-8/

    [290] Z. Zheng, A. Mohammadi, O. Jafari, H. Sepehrian, J. Lin, X. Zhang, W. Shi. Silicon IQ modulator for 120  Gbaud QAM. European Conference on Optical Communication (ECOC), 1-4(2021).

    [291] L. Zhu, J. Zhou, J. Wang, Q. Zhang. Pre-equalization and bandwidth limitation for a 100-G-Baud 32 QAM all-silicon transmitter. IEEE Photon. Technol. Lett., 31, 1453-1456(2019).

    [292] S. Zhalehpour, M. Guo, J. Lin, Z. Zhang, H. Sepehrian, Y. Qiao, L. A. Rusch. All silicon IQ modulator with 1Tb/s line rate. Optical Fiber Communication Conference (OFC), W3D.6(2020).

    [293] S. Yamanaka, Y. Ikuma, T. Itoh, Y. Kawamura, K. Kikuchi, Y. Kurata, M. Jizodo, T. Jyo, S. Soma, M. Takahashi, K. Tsuzuki, M. Nagatani, Y. Nasu, A. Matsushita, T. Yamada. Silicon photonics coherent optical subassembly with EO and OE bandwidths of over 50  GHz. Optical Fiber Communication Conference (OFC), Th4A.4(2020).

    [294] S. Yamanaka, Y. Nasu. Silicon photonics coherent optical subassembly for high-data-rate signal transmissions. Optical Fiber Communication Conference (OFC), Th5F.2(2021).

    [295] C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanović, R. J. Ram, M. A. Popović, V. M. Stojanović. Single-chip microprocessor that communicates directly using light. Nature, 528, 534-538(2015).

    [296] A. H. Atabaki, S. Moazeni, F. Pavanello, H. Gevorgyan, J. Notaros, L. Alloatti, M. T. Wade, C. Sun, S. A. Kruger, H. Meng, K. Al Qubaisi, I. Wang, B. Zhang, A. Khilo, C. V. Baiocco, M. A. Popović, V. M. Stojanović, R. J. Ram. Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip. Nature, 556, 349-354(2018).

    [297] Q. Cheng, S. Rumley, M. Bahadori, K. Bergman. Photonic switching in high performance datacenters [Invited]. Opt. Express, 26, 16022-16043(2018).

    [298] W. Bogaerts, P. De Heyn, T. Van Vaerenbergh, K. De Vos, S. Kumar Selvaraja, T. Claes, P. Dumon, P. Bienstman, D. Van Thourhout, R. Baets. Silicon microring resonators. Laser Photon. Rev., 6, 47-73(2012).

    [299] N. Sherwood-Droz, H. Wang, L. Chen, B. G. Lee, A. Biberman, K. Bergman, M. Lipson. Optical 4 × 4 hitless silicon router for optical networks-on-chip (NoC). Opt. Express, 16, 15915-15922(2008).

    [300] K. Tanizawa, K. Suzuki, M. Toyama, M. Ohtsuka, N. Yokoyama, K. Matsumaro, M. Seki, K. Koshino, T. Sugaya, S. Suda, G. Cong, T. Kimura, K. Ikeda, S. Namiki, H. Kawashima. Ultra-compact 32 × 32 strictly-non-blocking Si-wire optical switch with fan-out LGA interposer. Opt. Express, 23, 17599-17606(2015).

    [301] R. Ji, L. Yang, L. Zhang, Y. Tian, J. Ding, H. Chen, Y. Lu, P. Zhou, W. Zhu. Five-port optical router for photonic networks-on-chip. Opt. Express, 19, 20258-20268(2011).

    [302] R. Ji, L. Yang, L. Zhang, Y. Tian, J. Ding, H. Chen, Y. Lu, P. Zhou, W. Zhu. Microring-resonator-based four-port optical router for photonic networks-on-chip. Opt. Express, 19, 18945-18955(2011).

    [303] D. Nikolova, D. M. Calhoun, Y. Liu, S. Rumley, A. Novack, T. Baehr-Jones, M. Hochberg, K. Bergman. Modular architecture for fully non-blocking silicon photonic switch fabric. Microsys. Nanoeng., 3, 16071(2017).

    [304] Q. Cheng, L. Y. Dai, N. C. Abrams, Y.-H. Hung, P. E. Morrissey, M. Glick, P. O’Brien, K. Bergman. Ultralow-crosstalk, strictly non-blocking microring-based optical switch. Photon. Res., 7, 155-161(2019).

    [305] K. Padmaraju, D. F. Logan, T. Shiraishi, J. J. Ackert, A. P. Knights, K. Bergman. Wavelength locking and thermally stabilizing microring resonators using dithering signals. J. Lightwave Technol., 32, 505-512(2014).

    [306] X. Zhu, K. Padmaraju, L.-W. Luo, S. Yang, M. Glick, R. Dutt, M. Lipson, K. Bergman. Fast wavelength locking of a microring resonator. IEEE Photon. Technol. Lett., 26, 2365-2368(2014).

    [307] A. S. P. Khope, T. Hirokawa, A. M. Netherton, M. Saeidi, Y. Xia, N. Volet, C. Schow, R. Helkey, L. Theogarajan, A. A. M. Saleh, J. E. Bowers, R. C. Alferness. On-chip wavelength locking for photonic switches. Opt. Lett., 42, 4934-4937(2017).

    [308] A. Biberman, B. G. Lee, N. Sherwood-Droz, M. Lipson, K. Bergman. Broadband operation of nanophotonic router for silicon photonic networks-on-chip. IEEE Photon. Technol. Lett., 22, 926-928(2010).

    [309] A. Biberman, H. L. R. Lira, K. Padmaraju, N. Ophir, J. Chan, M. Lipson, K. Bergman. Broadband silicon photonic electrooptic switch for photonic interconnection networks. IEEE Photon. Technol. Lett., 23, 504-506(2011).

    [310] P. Dasmahapatra, R. Stabile, A. Rohit, K. A. Williams. Optical crosspoint matrix using broadband resonant switches. IEEE J. Sel. Top. Quantum Electron., 20, 5900410(2014).

    [311] P. Chen, S. Chen, X. Guan, Y. Shi, D. Dai. High-order microring resonators with bent couplers for a box-like filter response. Opt. Lett., 39, 6304-6307(2014).

    [312] R. A. Soref, B. E. Little. Proposed N-wavelength M-fiber WDM cross connect switch using active microring resonators. IEEE Photon. Technol. Lett., 10, 1121-1123(1998).

    [313] Y. Goebuchi, M. Hisada, T. Kato, Y. Kokubun. Optical cross-connect circuit using hitless wavelength selective switch. Opt. Express, 16, 535-548(2008).

    [314] A. S. P. Khope, M. Saeidi, R. Yu, X. Wu, A. M. Netherton, Y. Liu, Z. Zhang, Y. Xia, G. Fleeman, A. Spott, S. Pinna, C. Schow, R. Helkey, L. Theogarajan, R. C. Alferness, A. A. M. Saleh, J. E. Bowers. Multi-wavelength selective crossbar switch. Opt. Express, 27, 5203-5216(2019).

    [315] A. S. P. Khope, S. Liu, Z. Zhang, A. M. Netherton, R. L. Hwang, A. Wissing, J. Perez, F. Tang, C. Schow, R. Helkey, R. C. Alferness, A. A. M. Saleh, J. E. Bowers. 2λ switch. Opt. Lett., 45, 5340-5343(2020).

    [316] L. B. Soldano, E. C. M. Pennings. Optical multi-mode interference devices based on self-imaging: principles and applications. J. Lightwave Technol., 13, 615-627(1995).

    [317] S. Chen, Y. Shi, S. He, D. Dai. Low-loss and broadband 2 × 2 silicon thermo-optic Mach-Zehnder switch with bent directional couplers. Opt. Lett., 41, 836-839(2016).

    [318] K. Suzuki, G. Cong, K. Tanizawa, S. H. Kim, K. Ikeda, S. Namiki, H. Kawashima. Ultra-high-extinction-ratio 2 × 2 silicon optical switch with variable splitter. Opt. Express, 23, 9086-9092(2015).

    [319] M. Wang, A. Ribero, Y. Xing, W. Bogaerts. Tolerant, broadband tunable 2 × 2 coupler circuit. Opt. Express, 28, 5555-5566(2020).

    [320] H. Xu, D. Dai, Y. Shi. Low-crosstalk and fabrication-tolerant four-channel CWDM filter based on dispersion-engineered Mach-Zehnder interferometers. Opt. Express, 29, 20617-20631(2021).

    [321] L. Song, H. Li, D. Dai. Mach–Zehnder silicon-photonic switch with low random phase errors. Opt. Lett., 46, 78-81(2020).

    [322] R. Ramaswami, K. Sivarajan, G. Sasaki. Optical Networks: A Practical Perspective(2009).

    [323] M. Yang, W. M. Green, S. Assefa, J. Van Campenhout, B. G. Lee, C. V. Jahnes, F. E. Doany, C. L. Schow, J. A. Kash, Y. A. Vlasov. Non-blocking 4 × 4 electro-optic silicon switch for on-chip photonic networks. Opt. Express, 19, 47-54(2011).

    [324] S. Zhao, L. Lu, L. Zhou, D. Li, Z. Guo, J. Chen. 16 × 16 silicon Mach–Zehnder interferometer switch actuated with waveguide microheaters. Photon. Res., 4, 202-207(2016).

    [325] L. Lu, S. Zhao, L. Zhou, D. Li, Z. Li, M. Wang, X. Li, J. Chen. 16 × 16 non-blocking silicon optical switch based on electro-optic Mach-Zehnder interferometers. Opt. Express, 24, 9295-9307(2016).

    [326] C. Li, D. Liu, D. Dai. Multimode silicon photonics. Nanophotonics, 8, 227-247(2018).

    [327] H. Xu, D. Dai, Y. Shi. Silicon integrated nanophotonic devices for on-chip multi-mode interconnects. Appl. Sci., 10, 18(2020).

    [328] C. Sun, Y. Yu, G. Chen, X. Zhang. Integrated switchable mode exchange for reconfigurable mode-multiplexing optical networks. Opt. Lett., 41, 3257-3260(2016).

    [329] C. Sun, Y. Yu, G. Chen, X. Zhang. On-chip switch for reconfigurable mode-multiplexing optical network. Opt. Express, 24, 21722-21728(2016).

    [330] Y. Xiong, R. B. Priti, O. Liboiron-Ladouceur. High-speed two-mode switch for mode-division multiplexing optical networks. Optica, 4, 1098-1102(2017).

    [331] B. Stern, X. Zhu, C. P. Chen, L. D. Tzuang, J. Cardenas, K. Bergman, M. Lipson. On-chip mode-division multiplexing switch. Optica, 2, 530-535(2015).

    [332] S. Wang, X. Feng, S. Gao, Y. Shi, T. Dai, H. Yu, H. K. Tsang, D. Dai. On-chip reconfigurable optical add-drop multiplexer for hybrid wavelength/mode-division-multiplexing systems. Opt. Lett., 42, 2802-2805(2017).

    [333] D. Gostimirovic, W. N. Ye. Compact silicon-photonic mode-division (de)multiplexer using waveguide-wrapped microdisk resonators. Opt. Lett., 46, 388-391(2021).

    [334] S. Wang, H. Wu, H. K. Tsang, D. Dai. Monolithically integrated reconfigurable add-drop multiplexer for mode-division-multiplexing systems. Opt. Lett., 41, 5298-5301(2016).

    [335] Y. Zhang, Y. He, Q. Zhu, C. Qiu, Y. Su. On-chip silicon photonic 2 × 2 mode- and polarization-selective switch with low inter-modal crosstalk. Photon. Res., 5, 521-526(2017).

    [336] R. B. Priti, O. Liboiron-Ladouceur. Reconfigurable and scalable multimode silicon photonics switch for energy-efficient mode-division-multiplexing systems. J. Lightwave Technol., 37, 3851-3860(2019).

    [337] G. Zhou, Y. Guo, L. Lu, J. Chen, L. Zhou. Silicon reconfigurable mode-selective modulation for on-chip mode-multiplexed photonic systems. Opt. Lett., 46, 1145-1148(2021).

    [338] C. Sun, W. Wu, Y. Yu, G. Chen, X. Zhang, X. Chen, D. J. Thomson, G. T. Reed. De-multiplexing free on-chip low-loss multimode switch enabling reconfigurable inter-mode and inter-path routing. Nanophotonics, 7, 1571-1580(2018).

    [339] L. Yang, T. Zhou, H. Jia, S. Yang, J. Ding, X. Fu, L. Zhang. General architectures for on-chip optical space and mode switching. Optica, 5, 180-187(2018).

    [340] D. Zhou, C. Sun, Y. Lai, Y. Yu, X. Zhang. Integrated silicon multifunctional mode-division multiplexing system. Opt. Express, 27, 10798-10805(2019).

    [341] X. Han, H. Xiao, Y. Jiang, G. Ren, P. Zhang, J. Tan, J. Yang, A. Mitchell, Y. Tian. Integrated non-blocking optical router harnessing wavelength- and mode-selective property for photonic networks-on-chip. Opt. Express, 29, 1251-1264(2021).

    [342] T. Zhou, H. Jia, J. Ding, L. Zhang, X. Fu, L. Yang. On-chip broadband silicon thermo-optic 2 × 2 four-mode optical switch for optical space and local mode switching. Opt. Express, 26, 8375-8384(2018).

    [343] H. Jia, S. Yang, T. Zhou, S. Shao, X. Fu, L. Zhang, L. Yang. WDM-compatible multimode optical switching system-on-chip. Nanophotonics, 8, 889-898(2019).

    [344] X. Cao, S. Zheng, N. Zhou, J. Zhang, J. Wang. On-chip multi-dimensional 1 × 4 selective switch with simultaneous mode-/polarization-/wavelength-division multiplexing. IEEE J. Quantum Electron., 56, 8400608(2020).

    [345] C. Zhang, S. Zhang, J. D. Peters, J. E. Bowers. 8 × 8 × 40  Gbps fully integrated silicon photonic network on chip. Optica, 3, 785-786(2016).

    [346] H. Xu, C. Liu, D. Dai, Y. Shi. Direct-access mode-division multiplexing switch for scalable on-chip multi-mode networks. Nanophotonics, 10, 4551-4566(2021).

    [347] S. Han, T. J. Seok, N. Quack, B.-W. Yoo, M. C. Wu. Large-scale silicon photonic switches with movable directional couplers. Optica, 2, 370-375(2015).

    [348] T. J. Seok, N. Quack, S. Han, R. S. Muller, M. C. Wu. Large-scale broadband digital silicon photonic switches with vertical adiabatic couplers. Optica, 3, 64-70(2016).

    [349] C. Haffner, A. Joerg, M. Doderer, F. Mayor, D. Chelladurai, Y. Fedoryshyn, C. I. Roman, M. Mazur, M. Burla, H. J. Lezec, V. A. Aksyuk, J. Leuthold. Nano-opto-electro-mechanical switches operated at CMOS-level voltages. Science, 366, 860-864(2019).

    [350] T. J. Seok, K. Kwon, J. Henriksson, J. Luo, M. C. Wu. Wafer-scale silicon photonic switches beyond die size limit. Optica, 6, 490-494(2019).

    [351] W. Pernice, T. Grottke, W. Hartmann, C. Schuck. Optoelectromechanical phase shifter with low insertion loss and 13π tuning range. Opt. Express, 29, 5525-5537(2020).

    [352] R. Baghdadi, M. Gould, S. Gupta, M. Tymchenko, D. Bunandar, C. Ramey, N. C. Harris. Dual slot-mode NOEM phase shifter. Opt. Express, 29, 19113-19119(2021).

    [353] A. Y. Takabayashi, H. Sattari, P. Edinger, P. Verheyen, K. B. Gylfason, W. Bogaerts, N. Quack. Broadband compact single-pole double-throw silicon photonic MEMS switch. J. Microelectromech. Syst., 30, 322-329(2021).

    [354] W. Jin, A. Feshali, M. Paniccia, J. E. Bowers. Seamless multi-reticle photonics. Opt. Lett., 46, 2984-2987(2021).

    [355] C. Wu, H. Yu, H. Li, X. Zhang, I. Takeuchi, M. Li. Low-loss integrated photonic switch using subwavelength patterned phase change material. ACS Photon., 6, 87-92(2018).

    [356] P. Xu, J. Zheng, J. K. Doylend, A. Majumdar. Low-loss and broadband nonvolatile phase-change directional coupler switches. ACS Photon., 6, 553-557(2019).

    [357] H. Zhang, L. Zhou, L. Lu, J. Xu, N. Wang, H. Hu, B. M. A. Rahman, Z. Zhou, J. Chen. Miniature multilevel optical memristive switch using phase change material. ACS Photon., 6, 2205-2212(2019).

    [358] C. Zhang, M. Zhang, Y. Xie, Y. Shi, R. Kumar, R. R. Panepucci, D. Dai. Wavelength-selective 2 × 2 optical switch based on a Ge2Sb2Te5-assisted microring. Photon. Res., 8, 1171-1176(2020).

    [359] J. Zheng, Z. Fang, C. Wu, S. Zhu, P. Xu, J. K. Doylend, S. Deshmukh, E. Pop, S. Dunham, M. Li, A. Majumdar. Nonvolatile electrically reconfigurable integrated photonic switch enabled by a silicon PIN diode heater. Adv. Mater., 32, 2001218(2020).

    [360] H. Chen, H. Jia, J. Yang, Y. Tian, T. Wang. Ultra-compact switchable mode converter based on silicon and optical phase change material hybrid metastructure. Opt. Commun., 473, 125889(2020).

    Yaocheng Shi, Yong Zhang, Yating Wan, Yu Yu, Yuguang Zhang, Xiao Hu, Xi Xiao, Hongnan Xu, Long Zhang, Bingcheng Pan. Silicon photonics for high-capacity data communications[J]. Photonics Research, 2022, 10(9): A106
    Download Citation